Commit a79a6b09 authored by Dave Newbold's avatar Dave Newbold

syntax fix

parent 34b80e8a
......@@ -26,7 +26,7 @@ entity sc_fake is
fake: out std_logic_vector(13 downto 0)
);
end sc_fake
end sc_fake;
architecture rtl of sc_fake is
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment