Commit 953f5237 authored by Dave Newbold's avatar Dave Newbold

Bug fix

parent ae11f0c4
...@@ -136,8 +136,8 @@ begin ...@@ -136,8 +136,8 @@ begin
port map( port map(
clk => clk, clk => clk,
reset => rst, reset => rst,
ipbus_in => ipbw(N_SLV_CHAN_MASK), ipbus_in => ipbw(N_SLV_MASKS),
ipbus_out => ipbr(N_SLV_CHAN_MASK), ipbus_out => ipbr(N_SLV_MASKS),
q => masks q => masks
); );
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment