Commit 8dd99bc2 authored by Dave Newbold's avatar Dave Newbold

Adding trigger self-cancel

parent f0e6f4d1
......@@ -55,7 +55,7 @@ begin
rtrig <= ((not mode(0) and not or_reduce(rand(mask'range) and mask)) or
(mode(0) and not or_reduce(sctr(BLK_RADIX + mask'left downto BLK_RADIX) and mask))) and mode(1);
v <= ((v and not mark_del(DELAY - 1)) or ((rtrig or force_c) and mark)) and not (ack or not en) when rising_edge(clk);
v <= ((v and not mark) or ((rtrig or force_c) and mark)) and not (ack or not en) when rising_edge(clk);
valid <= v and not ack;
end rtl;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment