Commit 82c2df6d authored by Dave Newbold's avatar Dave Newbold

Fix in buf

parent f870a9d3
...@@ -120,7 +120,7 @@ begin ...@@ -120,7 +120,7 @@ begin
process(clk40) process(clk40)
begin begin
if falling_edge(clk40) then if falling_edge(clk40) then
if (mode = '1' and nzen = '0') or (mode == '0' and nzen_d = '0') then if (mode = '1' and nzen = '0') or (mode = '0' and nzen_d = '0') then
pnz <= to_unsigned(0, pnz'length); pnz <= to_unsigned(0, pnz'length);
else else
if (mode = '0' and pnz = NZS_LAST_ADDR) or pnz = ZS_LAST_ADDR then if (mode = '0' and pnz = NZS_LAST_ADDR) or pnz = ZS_LAST_ADDR then
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment