Commit 225e69cc authored by Lucas Russo's avatar Lucas Russo

top/*/dbe_bpm/*: fix component declaration syntax error

parent 00012c2d
......@@ -732,7 +732,7 @@ begin
cmp_dbe_bpm_gen : dbe_bpm_gen
generic map (
g_fmc_adc_type => "FMC130M"
);
)
port map (
-----------------------------------------
-- Clocking pins
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment