Commit 68596931 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

testbench/swcore: wip

parent 88ba9054
......@@ -425,7 +425,7 @@ module main_generic;
int j;
int n_ports = `c_num_ports;
int mask_opt=1;
int n_packets =100;
int n_packets =500;
// initialization
initPckSrcAndSink(src, sink, n_ports);
gen = new;
......@@ -656,7 +656,6 @@ module main_generic;
memoryLeakageReport();
`ifdef New_Allocator
begin
int peak, occupied, i;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment