Commit 48df77a3 authored by Dimitris Lampridis's avatar Dimitris Lampridis Committed by Tristan Gingold

Rename VME P0 LB1B and LB2B to LBB and HBB respectively.

See also:
#7 (comment 116687)
parent f3dfcae1
......@@ -296,22 +296,22 @@ set_property direction OUT [get_ports {vme_p0_h1_bsb_o[5]}]
set_property direction OUT [get_ports {vme_p0_h1_bsb_o[6]}]
set_property direction OUT [get_ports {vme_p0_h1_bsb_o[7]}]
set_property direction OUT [get_ports {vme_p0_h1_c40_o}]
set_property direction OUT [get_ports {vme_p0_h1_lb1b_o[0]}]
set_property direction OUT [get_ports {vme_p0_h1_lb1b_o[1]}]
set_property direction OUT [get_ports {vme_p0_h1_lb1b_o[2]}]
set_property direction OUT [get_ports {vme_p0_h1_lb1b_o[3]}]
set_property direction OUT [get_ports {vme_p0_h1_lb1b_o[4]}]
set_property direction OUT [get_ports {vme_p0_h1_lb1b_o[5]}]
set_property direction OUT [get_ports {vme_p0_h1_lb1b_o[6]}]
set_property direction OUT [get_ports {vme_p0_h1_lb1b_o[7]}]
set_property direction OUT [get_ports {vme_p0_h1_lb2b_o[0]}]
set_property direction OUT [get_ports {vme_p0_h1_lb2b_o[1]}]
set_property direction OUT [get_ports {vme_p0_h1_lb2b_o[2]}]
set_property direction OUT [get_ports {vme_p0_h1_lb2b_o[3]}]
set_property direction OUT [get_ports {vme_p0_h1_lb2b_o[4]}]
set_property direction OUT [get_ports {vme_p0_h1_lb2b_o[5]}]
set_property direction OUT [get_ports {vme_p0_h1_lb2b_o[6]}]
set_property direction OUT [get_ports {vme_p0_h1_lb2b_o[7]}]
set_property direction OUT [get_ports {vme_p0_h1_lbb_o[0]}]
set_property direction OUT [get_ports {vme_p0_h1_lbb_o[1]}]
set_property direction OUT [get_ports {vme_p0_h1_lbb_o[2]}]
set_property direction OUT [get_ports {vme_p0_h1_lbb_o[3]}]
set_property direction OUT [get_ports {vme_p0_h1_lbb_o[4]}]
set_property direction OUT [get_ports {vme_p0_h1_lbb_o[5]}]
set_property direction OUT [get_ports {vme_p0_h1_lbb_o[6]}]
set_property direction OUT [get_ports {vme_p0_h1_lbb_o[7]}]
set_property direction OUT [get_ports {vme_p0_h1_hbb_o[0]}]
set_property direction OUT [get_ports {vme_p0_h1_hbb_o[1]}]
set_property direction OUT [get_ports {vme_p0_h1_hbb_o[2]}]
set_property direction OUT [get_ports {vme_p0_h1_hbb_o[3]}]
set_property direction OUT [get_ports {vme_p0_h1_hbb_o[4]}]
set_property direction OUT [get_ports {vme_p0_h1_hbb_o[5]}]
set_property direction OUT [get_ports {vme_p0_h1_hbb_o[6]}]
set_property direction OUT [get_ports {vme_p0_h1_hbb_o[7]}]
set_property direction OUT [get_ports {vme_p0_h1_tc_o}]
set_property direction OUT [get_ports {vme_p0_h2_bsb_o[0]}]
set_property direction OUT [get_ports {vme_p0_h2_bsb_o[1]}]
......@@ -322,22 +322,22 @@ set_property direction OUT [get_ports {vme_p0_h2_bsb_o[5]}]
set_property direction OUT [get_ports {vme_p0_h2_bsb_o[6]}]
set_property direction OUT [get_ports {vme_p0_h2_bsb_o[7]}]
set_property direction OUT [get_ports {vme_p0_h2_c40_o}]
set_property direction OUT [get_ports {vme_p0_h2_lb1b_o[0]}]
set_property direction OUT [get_ports {vme_p0_h2_lb1b_o[1]}]
set_property direction OUT [get_ports {vme_p0_h2_lb1b_o[2]}]
set_property direction OUT [get_ports {vme_p0_h2_lb1b_o[3]}]
set_property direction OUT [get_ports {vme_p0_h2_lb1b_o[4]}]
set_property direction OUT [get_ports {vme_p0_h2_lb1b_o[5]}]
set_property direction OUT [get_ports {vme_p0_h2_lb1b_o[6]}]
set_property direction OUT [get_ports {vme_p0_h2_lb1b_o[7]}]
set_property direction OUT [get_ports {vme_p0_h2_lb2b_o[0]}]
set_property direction OUT [get_ports {vme_p0_h2_lb2b_o[1]}]
set_property direction OUT [get_ports {vme_p0_h2_lb2b_o[2]}]
set_property direction OUT [get_ports {vme_p0_h2_lb2b_o[3]}]
set_property direction OUT [get_ports {vme_p0_h2_lb2b_o[4]}]
set_property direction OUT [get_ports {vme_p0_h2_lb2b_o[5]}]
set_property direction OUT [get_ports {vme_p0_h2_lb2b_o[6]}]
set_property direction OUT [get_ports {vme_p0_h2_lb2b_o[7]}]
set_property direction OUT [get_ports {vme_p0_h2_lbb_o[0]}]
set_property direction OUT [get_ports {vme_p0_h2_lbb_o[1]}]
set_property direction OUT [get_ports {vme_p0_h2_lbb_o[2]}]
set_property direction OUT [get_ports {vme_p0_h2_lbb_o[3]}]
set_property direction OUT [get_ports {vme_p0_h2_lbb_o[4]}]
set_property direction OUT [get_ports {vme_p0_h2_lbb_o[5]}]
set_property direction OUT [get_ports {vme_p0_h2_lbb_o[6]}]
set_property direction OUT [get_ports {vme_p0_h2_lbb_o[7]}]
set_property direction OUT [get_ports {vme_p0_h2_hbb_o[0]}]
set_property direction OUT [get_ports {vme_p0_h2_hbb_o[1]}]
set_property direction OUT [get_ports {vme_p0_h2_hbb_o[2]}]
set_property direction OUT [get_ports {vme_p0_h2_hbb_o[3]}]
set_property direction OUT [get_ports {vme_p0_h2_hbb_o[4]}]
set_property direction OUT [get_ports {vme_p0_h2_hbb_o[5]}]
set_property direction OUT [get_ports {vme_p0_h2_hbb_o[6]}]
set_property direction OUT [get_ports {vme_p0_h2_hbb_o[7]}]
set_property direction OUT [get_ports {vme_p0_h2_tc_o}]
set_property direction OUT [get_ports {vme_p0_oen_o}]
set_property direction OUT [get_ports {vme_retry_n_o}]
......@@ -543,22 +543,22 @@ set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_bsb_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_bsb_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_bsb_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_c40_o]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb1b_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb1b_o[1]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb1b_o[2]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb1b_o[3]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb1b_o[4]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb1b_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb1b_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb1b_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb2b_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb2b_o[1]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb2b_o[2]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb2b_o[3]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb2b_o[4]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb2b_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb2b_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb2b_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lbb_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lbb_o[1]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lbb_o[2]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lbb_o[3]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lbb_o[4]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lbb_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lbb_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lbb_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_hbb_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_hbb_o[1]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_hbb_o[2]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_hbb_o[3]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_hbb_o[4]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_hbb_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_hbb_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_hbb_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_tc_o]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_bsb_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_bsb_o[1]]
......@@ -569,22 +569,22 @@ set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_bsb_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_bsb_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_bsb_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_c40_o]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb1b_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb1b_o[1]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb1b_o[2]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb1b_o[3]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb1b_o[4]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb1b_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb1b_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb1b_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb2b_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb2b_o[1]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb2b_o[2]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb2b_o[3]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb2b_o[4]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb2b_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb2b_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb2b_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lbb_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lbb_o[1]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lbb_o[2]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lbb_o[3]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lbb_o[4]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lbb_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lbb_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lbb_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_hbb_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_hbb_o[1]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_hbb_o[2]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_hbb_o[3]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_hbb_o[4]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_hbb_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_hbb_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_hbb_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_tc_o]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_oen_o]
set_property OFFCHIP_TERM NONE [get_ports vme_retry_n_o]
......@@ -803,50 +803,50 @@ set_property SLEW SLOW [get_ports sfp2_led_active_o]
set_property IOSTANDARD LVCMOS33 [get_ports sfp2_led_link_o]
set_property DRIVE 12 [get_ports sfp2_led_link_o]
set_property SLEW SLOW [get_ports sfp2_led_link_o]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb1b_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb1b_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb1b_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb1b_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb1b_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb1b_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb1b_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb1b_o[7]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lb1b_o[0]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lb1b_o[1]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lb1b_o[2]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lb1b_o[3]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lb1b_o[4]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lb1b_o[5]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lb1b_o[6]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lb1b_o[7]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lb1b_o[0]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lb1b_o[1]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lb1b_o[2]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lb1b_o[3]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lb1b_o[4]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lb1b_o[5]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lb1b_o[6]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lb1b_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lbb_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lbb_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lbb_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lbb_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lbb_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lbb_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lbb_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lbb_o[7]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lbb_o[0]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lbb_o[1]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lbb_o[2]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lbb_o[3]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lbb_o[4]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lbb_o[5]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lbb_o[6]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lbb_o[7]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lbb_o[0]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lbb_o[1]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lbb_o[2]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lbb_o[3]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lbb_o[4]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lbb_o[5]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lbb_o[6]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lbb_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports vme_p0_h1_c40_o]
set_property IOSTANDARD LVCMOS33 [get_ports vme_p0_h1_tc_o]
set_property IOSTANDARD LVCMOS33 [get_ports vme_p0_h2_c40_o]
set_property IOSTANDARD LVCMOS33 [get_ports vme_p0_h2_tc_o]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb2b_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb2b_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb2b_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb2b_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb2b_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb2b_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb2b_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb2b_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb1b_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb1b_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb1b_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb1b_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb1b_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb1b_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb1b_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb1b_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_hbb_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_hbb_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_hbb_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_hbb_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_hbb_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_hbb_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_hbb_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_hbb_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lbb_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lbb_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lbb_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lbb_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lbb_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lbb_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lbb_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lbb_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_bsb_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_bsb_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_bsb_o[2]}]
......@@ -855,14 +855,14 @@ set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_bsb_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_bsb_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_bsb_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_bsb_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb2b_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb2b_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb2b_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb2b_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb2b_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb2b_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb2b_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb2b_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_hbb_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_hbb_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_hbb_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_hbb_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_hbb_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_hbb_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_hbb_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_hbb_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_bsb_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_bsb_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_bsb_o[2]}]
......@@ -1131,22 +1131,22 @@ set_property PACKAGE_PIN AA25 [get_ports {vme_p0_h1_bsb_o[4]}]
set_property PACKAGE_PIN AB25 [get_ports {vme_p0_h1_bsb_o[5]}]
set_property PACKAGE_PIN W23 [get_ports {vme_p0_h1_bsb_o[6]}]
set_property PACKAGE_PIN W24 [get_ports {vme_p0_h1_bsb_o[7]}]
set_property PACKAGE_PIN U22 [get_ports {vme_p0_h1_lb1b_o[0]}]
set_property PACKAGE_PIN V22 [get_ports {vme_p0_h1_lb1b_o[1]}]
set_property PACKAGE_PIN U24 [get_ports {vme_p0_h1_lb1b_o[2]}]
set_property PACKAGE_PIN U25 [get_ports {vme_p0_h1_lb1b_o[3]}]
set_property PACKAGE_PIN V23 [get_ports {vme_p0_h1_lb1b_o[4]}]
set_property PACKAGE_PIN V24 [get_ports {vme_p0_h1_lb1b_o[5]}]
set_property PACKAGE_PIN U26 [get_ports {vme_p0_h1_lb1b_o[6]}]
set_property PACKAGE_PIN V26 [get_ports {vme_p0_h1_lb1b_o[7]}]
set_property PACKAGE_PIN AB26 [get_ports {vme_p0_h1_lb2b_o[0]}]
set_property PACKAGE_PIN AC26 [get_ports {vme_p0_h1_lb2b_o[1]}]
set_property PACKAGE_PIN Y25 [get_ports {vme_p0_h1_lb2b_o[2]}]
set_property PACKAGE_PIN Y26 [get_ports {vme_p0_h1_lb2b_o[3]}]
set_property PACKAGE_PIN AA23 [get_ports {vme_p0_h1_lb2b_o[4]}]
set_property PACKAGE_PIN AB24 [get_ports {vme_p0_h1_lb2b_o[5]}]
set_property PACKAGE_PIN Y23 [get_ports {vme_p0_h1_lb2b_o[6]}]
set_property PACKAGE_PIN AA24 [get_ports {vme_p0_h1_lb2b_o[7]}]
set_property PACKAGE_PIN U22 [get_ports {vme_p0_h1_lbb_o[0]}]
set_property PACKAGE_PIN V22 [get_ports {vme_p0_h1_lbb_o[1]}]
set_property PACKAGE_PIN U24 [get_ports {vme_p0_h1_lbb_o[2]}]
set_property PACKAGE_PIN U25 [get_ports {vme_p0_h1_lbb_o[3]}]
set_property PACKAGE_PIN V23 [get_ports {vme_p0_h1_lbb_o[4]}]
set_property PACKAGE_PIN V24 [get_ports {vme_p0_h1_lbb_o[5]}]
set_property PACKAGE_PIN U26 [get_ports {vme_p0_h1_lbb_o[6]}]
set_property PACKAGE_PIN V26 [get_ports {vme_p0_h1_lbb_o[7]}]
set_property PACKAGE_PIN AB26 [get_ports {vme_p0_h1_hbb_o[0]}]
set_property PACKAGE_PIN AC26 [get_ports {vme_p0_h1_hbb_o[1]}]
set_property PACKAGE_PIN Y25 [get_ports {vme_p0_h1_hbb_o[2]}]
set_property PACKAGE_PIN Y26 [get_ports {vme_p0_h1_hbb_o[3]}]
set_property PACKAGE_PIN AA23 [get_ports {vme_p0_h1_hbb_o[4]}]
set_property PACKAGE_PIN AB24 [get_ports {vme_p0_h1_hbb_o[5]}]
set_property PACKAGE_PIN Y23 [get_ports {vme_p0_h1_hbb_o[6]}]
set_property PACKAGE_PIN AA24 [get_ports {vme_p0_h1_hbb_o[7]}]
set_property PACKAGE_PIN AB22 [get_ports {vme_p0_h2_bsb_o[0]}]
set_property PACKAGE_PIN AC22 [get_ports {vme_p0_h2_bsb_o[1]}]
set_property PACKAGE_PIN AB21 [get_ports {vme_p0_h2_bsb_o[2]}]
......@@ -1155,22 +1155,22 @@ set_property PACKAGE_PIN AD21 [get_ports {vme_p0_h2_bsb_o[4]}]
set_property PACKAGE_PIN AE21 [get_ports {vme_p0_h2_bsb_o[5]}]
set_property PACKAGE_PIN AF24 [get_ports {vme_p0_h2_bsb_o[6]}]
set_property PACKAGE_PIN AF25 [get_ports {vme_p0_h2_bsb_o[7]}]
set_property PACKAGE_PIN Y22 [get_ports {vme_p0_h2_lb1b_o[0]}]
set_property PACKAGE_PIN AA22 [get_ports {vme_p0_h2_lb1b_o[1]}]
set_property PACKAGE_PIN AC23 [get_ports {vme_p0_h2_lb1b_o[2]}]
set_property PACKAGE_PIN AC24 [get_ports {vme_p0_h2_lb1b_o[3]}]
set_property PACKAGE_PIN W20 [get_ports {vme_p0_h2_lb1b_o[4]}]
set_property PACKAGE_PIN Y21 [get_ports {vme_p0_h2_lb1b_o[5]}]
set_property PACKAGE_PIN AD23 [get_ports {vme_p0_h2_lb1b_o[6]}]
set_property PACKAGE_PIN AD24 [get_ports {vme_p0_h2_lb1b_o[7]}]
set_property PACKAGE_PIN AD26 [get_ports {vme_p0_h2_lb2b_o[0]}]
set_property PACKAGE_PIN AE26 [get_ports {vme_p0_h2_lb2b_o[1]}]
set_property PACKAGE_PIN AE23 [get_ports {vme_p0_h2_lb2b_o[2]}]
set_property PACKAGE_PIN AF23 [get_ports {vme_p0_h2_lb2b_o[3]}]
set_property PACKAGE_PIN AD25 [get_ports {vme_p0_h2_lb2b_o[4]}]
set_property PACKAGE_PIN AE25 [get_ports {vme_p0_h2_lb2b_o[5]}]
set_property PACKAGE_PIN AE22 [get_ports {vme_p0_h2_lb2b_o[6]}]
set_property PACKAGE_PIN AF22 [get_ports {vme_p0_h2_lb2b_o[7]}]
set_property PACKAGE_PIN Y22 [get_ports {vme_p0_h2_lbb_o[0]}]
set_property PACKAGE_PIN AA22 [get_ports {vme_p0_h2_lbb_o[1]}]
set_property PACKAGE_PIN AC23 [get_ports {vme_p0_h2_lbb_o[2]}]
set_property PACKAGE_PIN AC24 [get_ports {vme_p0_h2_lbb_o[3]}]
set_property PACKAGE_PIN W20 [get_ports {vme_p0_h2_lbb_o[4]}]
set_property PACKAGE_PIN Y21 [get_ports {vme_p0_h2_lbb_o[5]}]
set_property PACKAGE_PIN AD23 [get_ports {vme_p0_h2_lbb_o[6]}]
set_property PACKAGE_PIN AD24 [get_ports {vme_p0_h2_lbb_o[7]}]
set_property PACKAGE_PIN AD26 [get_ports {vme_p0_h2_hbb_o[0]}]
set_property PACKAGE_PIN AE26 [get_ports {vme_p0_h2_hbb_o[1]}]
set_property PACKAGE_PIN AE23 [get_ports {vme_p0_h2_hbb_o[2]}]
set_property PACKAGE_PIN AF23 [get_ports {vme_p0_h2_hbb_o[3]}]
set_property PACKAGE_PIN AD25 [get_ports {vme_p0_h2_hbb_o[4]}]
set_property PACKAGE_PIN AE25 [get_ports {vme_p0_h2_hbb_o[5]}]
set_property PACKAGE_PIN AE22 [get_ports {vme_p0_h2_hbb_o[6]}]
set_property PACKAGE_PIN AF22 [get_ports {vme_p0_h2_hbb_o[7]}]
set_property PACKAGE_PIN Y20 [get_ports vme_p0_oen_o]
set_property PACKAGE_PIN B22 [get_ports {vme_data_b[0]}]
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment