Skip to content
Projects
Groups
Snippets
Help
Loading...
Sign in
Toggle navigation
W
wr2rf-vme
Project
Project
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
5
Issues
5
List
Board
Labels
Milestones
Merge Requests
0
Merge Requests
0
Wiki
Wiki
image/svg+xml
Discourse
Discourse
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Commits
Issue Boards
Open sidebar
Projects
wr2rf-vme
Commits
48df77a3
Commit
48df77a3
authored
Jun 26, 2020
by
Dimitris Lampridis
Committed by
Tristan Gingold
Jun 29, 2020
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
Rename VME P0 LB1B and LB2B to LBB and HBB respectively.
See also:
#7 (comment 116687)
parent
f3dfcae1
Show whitespace changes
Inline
Side-by-side
Showing
1 changed file
with
144 additions
and
144 deletions
+144
-144
wr2rf_vme.xdc
hdl/syn/wr2rf_vme.xdc
+144
-144
No files found.
hdl/syn/wr2rf_vme.xdc
View file @
48df77a3
...
...
@@ -296,22 +296,22 @@ set_property direction OUT [get_ports {vme_p0_h1_bsb_o[5]}]
set_property direction OUT [get_ports {vme_p0_h1_bsb_o[6]}]
set_property direction OUT [get_ports {vme_p0_h1_bsb_o[7]}]
set_property direction OUT [get_ports {vme_p0_h1_c40_o}]
set_property direction OUT [get_ports {vme_p0_h1_lb
1
b_o[0]}]
set_property direction OUT [get_ports {vme_p0_h1_lb
1
b_o[1]}]
set_property direction OUT [get_ports {vme_p0_h1_lb
1
b_o[2]}]
set_property direction OUT [get_ports {vme_p0_h1_lb
1
b_o[3]}]
set_property direction OUT [get_ports {vme_p0_h1_lb
1
b_o[4]}]
set_property direction OUT [get_ports {vme_p0_h1_lb
1
b_o[5]}]
set_property direction OUT [get_ports {vme_p0_h1_lb
1
b_o[6]}]
set_property direction OUT [get_ports {vme_p0_h1_lb
1
b_o[7]}]
set_property direction OUT [get_ports {vme_p0_h1_
lb2
b_o[0]}]
set_property direction OUT [get_ports {vme_p0_h1_
lb2
b_o[1]}]
set_property direction OUT [get_ports {vme_p0_h1_
lb2
b_o[2]}]
set_property direction OUT [get_ports {vme_p0_h1_
lb2
b_o[3]}]
set_property direction OUT [get_ports {vme_p0_h1_
lb2
b_o[4]}]
set_property direction OUT [get_ports {vme_p0_h1_
lb2
b_o[5]}]
set_property direction OUT [get_ports {vme_p0_h1_
lb2
b_o[6]}]
set_property direction OUT [get_ports {vme_p0_h1_
lb2
b_o[7]}]
set_property direction OUT [get_ports {vme_p0_h1_lbb_o[0]}]
set_property direction OUT [get_ports {vme_p0_h1_lbb_o[1]}]
set_property direction OUT [get_ports {vme_p0_h1_lbb_o[2]}]
set_property direction OUT [get_ports {vme_p0_h1_lbb_o[3]}]
set_property direction OUT [get_ports {vme_p0_h1_lbb_o[4]}]
set_property direction OUT [get_ports {vme_p0_h1_lbb_o[5]}]
set_property direction OUT [get_ports {vme_p0_h1_lbb_o[6]}]
set_property direction OUT [get_ports {vme_p0_h1_lbb_o[7]}]
set_property direction OUT [get_ports {vme_p0_h1_
hb
b_o[0]}]
set_property direction OUT [get_ports {vme_p0_h1_
hb
b_o[1]}]
set_property direction OUT [get_ports {vme_p0_h1_
hb
b_o[2]}]
set_property direction OUT [get_ports {vme_p0_h1_
hb
b_o[3]}]
set_property direction OUT [get_ports {vme_p0_h1_
hb
b_o[4]}]
set_property direction OUT [get_ports {vme_p0_h1_
hb
b_o[5]}]
set_property direction OUT [get_ports {vme_p0_h1_
hb
b_o[6]}]
set_property direction OUT [get_ports {vme_p0_h1_
hb
b_o[7]}]
set_property direction OUT [get_ports {vme_p0_h1_tc_o}]
set_property direction OUT [get_ports {vme_p0_h2_bsb_o[0]}]
set_property direction OUT [get_ports {vme_p0_h2_bsb_o[1]}]
...
...
@@ -322,22 +322,22 @@ set_property direction OUT [get_ports {vme_p0_h2_bsb_o[5]}]
set_property direction OUT [get_ports {vme_p0_h2_bsb_o[6]}]
set_property direction OUT [get_ports {vme_p0_h2_bsb_o[7]}]
set_property direction OUT [get_ports {vme_p0_h2_c40_o}]
set_property direction OUT [get_ports {vme_p0_h2_lb
1
b_o[0]}]
set_property direction OUT [get_ports {vme_p0_h2_lb
1
b_o[1]}]
set_property direction OUT [get_ports {vme_p0_h2_lb
1
b_o[2]}]
set_property direction OUT [get_ports {vme_p0_h2_lb
1
b_o[3]}]
set_property direction OUT [get_ports {vme_p0_h2_lb
1
b_o[4]}]
set_property direction OUT [get_ports {vme_p0_h2_lb
1
b_o[5]}]
set_property direction OUT [get_ports {vme_p0_h2_lb
1
b_o[6]}]
set_property direction OUT [get_ports {vme_p0_h2_lb
1
b_o[7]}]
set_property direction OUT [get_ports {vme_p0_h2_
lb2
b_o[0]}]
set_property direction OUT [get_ports {vme_p0_h2_
lb2
b_o[1]}]
set_property direction OUT [get_ports {vme_p0_h2_
lb2
b_o[2]}]
set_property direction OUT [get_ports {vme_p0_h2_
lb2
b_o[3]}]
set_property direction OUT [get_ports {vme_p0_h2_
lb2
b_o[4]}]
set_property direction OUT [get_ports {vme_p0_h2_
lb2
b_o[5]}]
set_property direction OUT [get_ports {vme_p0_h2_
lb2
b_o[6]}]
set_property direction OUT [get_ports {vme_p0_h2_
lb2
b_o[7]}]
set_property direction OUT [get_ports {vme_p0_h2_lbb_o[0]}]
set_property direction OUT [get_ports {vme_p0_h2_lbb_o[1]}]
set_property direction OUT [get_ports {vme_p0_h2_lbb_o[2]}]
set_property direction OUT [get_ports {vme_p0_h2_lbb_o[3]}]
set_property direction OUT [get_ports {vme_p0_h2_lbb_o[4]}]
set_property direction OUT [get_ports {vme_p0_h2_lbb_o[5]}]
set_property direction OUT [get_ports {vme_p0_h2_lbb_o[6]}]
set_property direction OUT [get_ports {vme_p0_h2_lbb_o[7]}]
set_property direction OUT [get_ports {vme_p0_h2_
hb
b_o[0]}]
set_property direction OUT [get_ports {vme_p0_h2_
hb
b_o[1]}]
set_property direction OUT [get_ports {vme_p0_h2_
hb
b_o[2]}]
set_property direction OUT [get_ports {vme_p0_h2_
hb
b_o[3]}]
set_property direction OUT [get_ports {vme_p0_h2_
hb
b_o[4]}]
set_property direction OUT [get_ports {vme_p0_h2_
hb
b_o[5]}]
set_property direction OUT [get_ports {vme_p0_h2_
hb
b_o[6]}]
set_property direction OUT [get_ports {vme_p0_h2_
hb
b_o[7]}]
set_property direction OUT [get_ports {vme_p0_h2_tc_o}]
set_property direction OUT [get_ports {vme_p0_oen_o}]
set_property direction OUT [get_ports {vme_retry_n_o}]
...
...
@@ -543,22 +543,22 @@ set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_bsb_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_bsb_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_bsb_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_c40_o]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb
1
b_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb
1
b_o[1]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb
1
b_o[2]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb
1
b_o[3]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb
1
b_o[4]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb
1
b_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb
1
b_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lb
1
b_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_
lb2
b_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_
lb2
b_o[1]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_
lb2
b_o[2]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_
lb2
b_o[3]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_
lb2
b_o[4]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_
lb2
b_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_
lb2
b_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_
lb2
b_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lbb_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lbb_o[1]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lbb_o[2]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lbb_o[3]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lbb_o[4]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lbb_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lbb_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_lbb_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_
hb
b_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_
hb
b_o[1]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_
hb
b_o[2]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_
hb
b_o[3]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_
hb
b_o[4]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_
hb
b_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_
hb
b_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_
hb
b_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h1_tc_o]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_bsb_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_bsb_o[1]]
...
...
@@ -569,22 +569,22 @@ set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_bsb_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_bsb_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_bsb_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_c40_o]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb
1
b_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb
1
b_o[1]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb
1
b_o[2]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb
1
b_o[3]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb
1
b_o[4]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb
1
b_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb
1
b_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lb
1
b_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_
lb2
b_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_
lb2
b_o[1]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_
lb2
b_o[2]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_
lb2
b_o[3]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_
lb2
b_o[4]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_
lb2
b_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_
lb2
b_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_
lb2
b_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lbb_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lbb_o[1]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lbb_o[2]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lbb_o[3]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lbb_o[4]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lbb_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lbb_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_lbb_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_
hb
b_o[0]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_
hb
b_o[1]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_
hb
b_o[2]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_
hb
b_o[3]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_
hb
b_o[4]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_
hb
b_o[5]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_
hb
b_o[6]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_
hb
b_o[7]]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_h2_tc_o]
set_property OFFCHIP_TERM NONE [get_ports vme_p0_oen_o]
set_property OFFCHIP_TERM NONE [get_ports vme_retry_n_o]
...
...
@@ -803,50 +803,50 @@ set_property SLEW SLOW [get_ports sfp2_led_active_o]
set_property IOSTANDARD LVCMOS33 [get_ports sfp2_led_link_o]
set_property DRIVE 12 [get_ports sfp2_led_link_o]
set_property SLEW SLOW [get_ports sfp2_led_link_o]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb
1
b_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb
1
b_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb
1
b_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb
1
b_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb
1
b_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb
1
b_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb
1
b_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lb
1
b_o[7]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lb
1
b_o[0]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lb
1
b_o[1]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lb
1
b_o[2]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lb
1
b_o[3]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lb
1
b_o[4]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lb
1
b_o[5]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lb
1
b_o[6]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lb
1
b_o[7]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lb
1
b_o[0]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lb
1
b_o[1]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lb
1
b_o[2]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lb
1
b_o[3]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lb
1
b_o[4]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lb
1
b_o[5]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lb
1
b_o[6]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lb
1
b_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lbb_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lbb_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lbb_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lbb_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lbb_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lbb_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lbb_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_lbb_o[7]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lbb_o[0]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lbb_o[1]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lbb_o[2]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lbb_o[3]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lbb_o[4]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lbb_o[5]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lbb_o[6]}]
set_property DRIVE 12 [get_ports {vme_p0_h1_lbb_o[7]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lbb_o[0]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lbb_o[1]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lbb_o[2]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lbb_o[3]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lbb_o[4]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lbb_o[5]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lbb_o[6]}]
set_property SLEW SLOW [get_ports {vme_p0_h1_lbb_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports vme_p0_h1_c40_o]
set_property IOSTANDARD LVCMOS33 [get_ports vme_p0_h1_tc_o]
set_property IOSTANDARD LVCMOS33 [get_ports vme_p0_h2_c40_o]
set_property IOSTANDARD LVCMOS33 [get_ports vme_p0_h2_tc_o]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_
lb2
b_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_
lb2
b_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_
lb2
b_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_
lb2
b_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_
lb2
b_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_
lb2
b_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_
lb2
b_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_
lb2
b_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb
1
b_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb
1
b_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb
1
b_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb
1
b_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb
1
b_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb
1
b_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb
1
b_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lb
1
b_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_
hb
b_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_
hb
b_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_
hb
b_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_
hb
b_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_
hb
b_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_
hb
b_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_
hb
b_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_
hb
b_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lbb_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lbb_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lbb_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lbb_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lbb_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lbb_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lbb_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_lbb_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_bsb_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_bsb_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_bsb_o[2]}]
...
...
@@ -855,14 +855,14 @@ set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_bsb_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_bsb_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_bsb_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h2_bsb_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_
lb2
b_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_
lb2
b_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_
lb2
b_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_
lb2
b_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_
lb2
b_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_
lb2
b_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_
lb2
b_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_
lb2
b_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_
hb
b_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_
hb
b_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_
hb
b_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_
hb
b_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_
hb
b_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_
hb
b_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_
hb
b_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_
hb
b_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_bsb_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_bsb_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {vme_p0_h1_bsb_o[2]}]
...
...
@@ -1131,22 +1131,22 @@ set_property PACKAGE_PIN AA25 [get_ports {vme_p0_h1_bsb_o[4]}]
set_property PACKAGE_PIN AB25 [get_ports {vme_p0_h1_bsb_o[5]}]
set_property PACKAGE_PIN W23 [get_ports {vme_p0_h1_bsb_o[6]}]
set_property PACKAGE_PIN W24 [get_ports {vme_p0_h1_bsb_o[7]}]
set_property PACKAGE_PIN U22 [get_ports {vme_p0_h1_lb
1
b_o[0]}]
set_property PACKAGE_PIN V22 [get_ports {vme_p0_h1_lb
1
b_o[1]}]
set_property PACKAGE_PIN U24 [get_ports {vme_p0_h1_lb
1
b_o[2]}]
set_property PACKAGE_PIN U25 [get_ports {vme_p0_h1_lb
1
b_o[3]}]
set_property PACKAGE_PIN V23 [get_ports {vme_p0_h1_lb
1
b_o[4]}]
set_property PACKAGE_PIN V24 [get_ports {vme_p0_h1_lb
1
b_o[5]}]
set_property PACKAGE_PIN U26 [get_ports {vme_p0_h1_lb
1
b_o[6]}]
set_property PACKAGE_PIN V26 [get_ports {vme_p0_h1_lb
1
b_o[7]}]
set_property PACKAGE_PIN AB26 [get_ports {vme_p0_h1_
lb2
b_o[0]}]
set_property PACKAGE_PIN AC26 [get_ports {vme_p0_h1_
lb2
b_o[1]}]
set_property PACKAGE_PIN Y25
[get_ports {vme_p0_h1_lb2
b_o[2]}]
set_property PACKAGE_PIN Y26
[get_ports {vme_p0_h1_lb2
b_o[3]}]
set_property PACKAGE_PIN AA23 [get_ports {vme_p0_h1_
lb2
b_o[4]}]
set_property PACKAGE_PIN AB24 [get_ports {vme_p0_h1_
lb2
b_o[5]}]
set_property PACKAGE_PIN Y23
[get_ports {vme_p0_h1_lb2
b_o[6]}]
set_property PACKAGE_PIN AA24 [get_ports {vme_p0_h1_
lb2
b_o[7]}]
set_property PACKAGE_PIN U22 [get_ports {vme_p0_h1_lbb_o[0]}]
set_property PACKAGE_PIN V22 [get_ports {vme_p0_h1_lbb_o[1]}]
set_property PACKAGE_PIN U24 [get_ports {vme_p0_h1_lbb_o[2]}]
set_property PACKAGE_PIN U25 [get_ports {vme_p0_h1_lbb_o[3]}]
set_property PACKAGE_PIN V23 [get_ports {vme_p0_h1_lbb_o[4]}]
set_property PACKAGE_PIN V24 [get_ports {vme_p0_h1_lbb_o[5]}]
set_property PACKAGE_PIN U26 [get_ports {vme_p0_h1_lbb_o[6]}]
set_property PACKAGE_PIN V26 [get_ports {vme_p0_h1_lbb_o[7]}]
set_property PACKAGE_PIN AB26 [get_ports {vme_p0_h1_
hb
b_o[0]}]
set_property PACKAGE_PIN AC26 [get_ports {vme_p0_h1_
hb
b_o[1]}]
set_property PACKAGE_PIN Y25
[get_ports {vme_p0_h1_hb
b_o[2]}]
set_property PACKAGE_PIN Y26
[get_ports {vme_p0_h1_hb
b_o[3]}]
set_property PACKAGE_PIN AA23 [get_ports {vme_p0_h1_
hb
b_o[4]}]
set_property PACKAGE_PIN AB24 [get_ports {vme_p0_h1_
hb
b_o[5]}]
set_property PACKAGE_PIN Y23
[get_ports {vme_p0_h1_hb
b_o[6]}]
set_property PACKAGE_PIN AA24 [get_ports {vme_p0_h1_
hb
b_o[7]}]
set_property PACKAGE_PIN AB22 [get_ports {vme_p0_h2_bsb_o[0]}]
set_property PACKAGE_PIN AC22 [get_ports {vme_p0_h2_bsb_o[1]}]
set_property PACKAGE_PIN AB21 [get_ports {vme_p0_h2_bsb_o[2]}]
...
...
@@ -1155,22 +1155,22 @@ set_property PACKAGE_PIN AD21 [get_ports {vme_p0_h2_bsb_o[4]}]
set_property PACKAGE_PIN AE21 [get_ports {vme_p0_h2_bsb_o[5]}]
set_property PACKAGE_PIN AF24 [get_ports {vme_p0_h2_bsb_o[6]}]
set_property PACKAGE_PIN AF25 [get_ports {vme_p0_h2_bsb_o[7]}]
set_property PACKAGE_PIN Y22
[get_ports {vme_p0_h2_lb1
b_o[0]}]
set_property PACKAGE_PIN AA22 [get_ports {vme_p0_h2_lb
1
b_o[1]}]
set_property PACKAGE_PIN AC23 [get_ports {vme_p0_h2_lb
1
b_o[2]}]
set_property PACKAGE_PIN AC24 [get_ports {vme_p0_h2_lb
1
b_o[3]}]
set_property PACKAGE_PIN W20
[get_ports {vme_p0_h2_lb1
b_o[4]}]
set_property PACKAGE_PIN Y21
[get_ports {vme_p0_h2_lb1
b_o[5]}]
set_property PACKAGE_PIN AD23 [get_ports {vme_p0_h2_lb
1
b_o[6]}]
set_property PACKAGE_PIN AD24 [get_ports {vme_p0_h2_lb
1
b_o[7]}]
set_property PACKAGE_PIN AD26 [get_ports {vme_p0_h2_
lb2
b_o[0]}]
set_property PACKAGE_PIN AE26 [get_ports {vme_p0_h2_
lb2
b_o[1]}]
set_property PACKAGE_PIN AE23 [get_ports {vme_p0_h2_
lb2
b_o[2]}]
set_property PACKAGE_PIN AF23 [get_ports {vme_p0_h2_
lb2
b_o[3]}]
set_property PACKAGE_PIN AD25 [get_ports {vme_p0_h2_
lb2
b_o[4]}]
set_property PACKAGE_PIN AE25 [get_ports {vme_p0_h2_
lb2
b_o[5]}]
set_property PACKAGE_PIN AE22 [get_ports {vme_p0_h2_
lb2
b_o[6]}]
set_property PACKAGE_PIN AF22 [get_ports {vme_p0_h2_
lb2
b_o[7]}]
set_property PACKAGE_PIN Y22
[get_ports {vme_p0_h2_lb
b_o[0]}]
set_property PACKAGE_PIN AA22 [get_ports {vme_p0_h2_lbb_o[1]}]
set_property PACKAGE_PIN AC23 [get_ports {vme_p0_h2_lbb_o[2]}]
set_property PACKAGE_PIN AC24 [get_ports {vme_p0_h2_lbb_o[3]}]
set_property PACKAGE_PIN W20
[get_ports {vme_p0_h2_lb
b_o[4]}]
set_property PACKAGE_PIN Y21
[get_ports {vme_p0_h2_lb
b_o[5]}]
set_property PACKAGE_PIN AD23 [get_ports {vme_p0_h2_lbb_o[6]}]
set_property PACKAGE_PIN AD24 [get_ports {vme_p0_h2_lbb_o[7]}]
set_property PACKAGE_PIN AD26 [get_ports {vme_p0_h2_
hb
b_o[0]}]
set_property PACKAGE_PIN AE26 [get_ports {vme_p0_h2_
hb
b_o[1]}]
set_property PACKAGE_PIN AE23 [get_ports {vme_p0_h2_
hb
b_o[2]}]
set_property PACKAGE_PIN AF23 [get_ports {vme_p0_h2_
hb
b_o[3]}]
set_property PACKAGE_PIN AD25 [get_ports {vme_p0_h2_
hb
b_o[4]}]
set_property PACKAGE_PIN AE25 [get_ports {vme_p0_h2_
hb
b_o[5]}]
set_property PACKAGE_PIN AE22 [get_ports {vme_p0_h2_
hb
b_o[6]}]
set_property PACKAGE_PIN AF22 [get_ports {vme_p0_h2_
hb
b_o[7]}]
set_property PACKAGE_PIN Y20 [get_ports vme_p0_oen_o]
set_property PACKAGE_PIN B22 [get_ports {vme_data_b[0]}]
...
...
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment