Commit 2d9ecce5 authored by Maciej Lipinski's avatar Maciej Lipinski

[WIP] first full version

parent 68a86b64
......@@ -98,7 +98,7 @@
\subsection{}
%=======================
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\begin{frame}{What is White Rabbit?}
\begin{frame}{What is White Rabbit [1]?}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\begin{columns}[c]
\column{0.75\textwidth}
......@@ -144,7 +144,7 @@
\includegraphics[width=1.0\textwidth]{misc/WR-zoo.jpg}\vspace{-1cm}
\begin{center}
\small
\textbf{Companies selling White Rabbit:} \url{www.ohwr.org/projects/white-rabbit/wiki/wrcompanies}
\textbf{Companies selling White Rabbit [2]:} \url{www.ohwr.org/projects/white-rabbit/wiki/wrcompanies}
\end{center}
\end{frame}
......@@ -198,8 +198,8 @@ INRIM & Italy & 70~km & 610ps $\pm$47ps\\ \hline
\end{columns}
\pause\pause\pause\pause\pause
{\scriptsize Users page: \url{http://www.ohwr.org/projects/white-rabbit/wiki/WRUsers}}
{\scriptsize Article:\textit{White Rabbit Applications and Enhancements}, M.Lipinski et. al, ISPCS2018}
{\scriptsize See user page [3]: \url{http://www.ohwr.org/projects/white-rabbit/wiki/WRUsers}}
{\scriptsize See also article [4] and newsletter [5]}
\end{frame}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
......@@ -236,8 +236,8 @@ INRIM & Italy & 70~km & 610ps $\pm$47ps\\ \hline
\item Frame-based synchronisation protocol
\item Simple calculations:
\begin{itemize}
\item link $delay_{ms}$ $\delta_{ms} = \frac{(t_{4}-t_{1}) - (t_{3}-t_{2})}{2}$
\item clock $offset_{ms} = t_{2} - (t_{1} + \delta_{ms})$
\item link delay: $\delta_{ms} = \frac{(t_{4}-t_{1}) - (t_{3}-t_{2})}{2}$
\item offset from master: $OFM = t_{2} - (t_{1} + \delta_{ms})$
\end{itemize}
\item<2-> Hierarchical network
\item<3-> Disadvantages
......@@ -295,20 +295,27 @@ INRIM & Italy & 70~km & 610ps $\pm$47ps\\ \hline
\column{.65\textwidth}
\footnotesize
\begin{itemize}
\item <1->Previous tricks allow high precision of round trip measurement
\item <2->Accurate synchronization requires mitigation of link asymmetries
\item <3->Sources of asymmetry: FPGA, PCB, SFP electrics/optics, wavelenght (1, chromatic dispertion
\item <4->Link delay model
\item <1->Previous tricks allow high precision of round trip (RTT) measurement: $RTT=(t_{4}-t_{1}) - (t_{3}-t_{2})$
\item <2->Accuracy requires mitigation of asymmetries
\item <3->Asymmetry sources: FPGA, PCB, SFP electrics/optics, chromatic dispersion [6,7]
\item <4->Link delay model:
\begin{itemize}\scriptsize
\item \textbf{Fixed delays:} assumed constant, calibrated/measured
\item \textbf{Variable delays:} online evaluation with fiber asymmetry coefficient: $\alpha = \frac{\nu_g(\lambda_s)}{\nu_g(\lambda_s)} -1 = \frac{\delta_{MS} - \delta_{SM}}{\delta_{SM}}$
\end{itemize}
\item <5-> Correcting offset from master (OFM):\scriptsize \\
% $RTT=(t_{4}-t_{1}) - (t_{3}-t_{2})$\\
$\delta_{ms}~ = \frac{1 + \alpha}{2 + \alpha} \, (RTT - \Delta - \epsilon)$
$OFM = t_{2} - (t_{1} + \delta_{ms} + \Delta_{txm} + \Delta_{rxs} + \epsilon_S)$
\end{itemize}
\column{.5\textwidth}
\includegraphics[width=1.0\textwidth]{protocol/link-delay-model-detailed.jpg}
\begin{center}
\includegraphics[width=1.0\textwidth]{protocol/link-delay-model-detailed.jpg}\\
\tiny See: \textit{WR Calibration} [8]
\end{center}
\end{columns}
\pause\pause\pause\pause
\scriptsize See: \textit{WR Calibration}, version 1.1, G.Daniluk
% \pause\pause\pause\pause
% \scriptsize See: \textit{WR Calibration}, version 1.1, G.Daniluk
\end{frame}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
......@@ -321,7 +328,7 @@ INRIM & Italy & 70~km & 610ps $\pm$47ps\\ \hline
\end{center}
\end{frame}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\begin{frame}[t,fragile]{White Rabbit Switch}
\begin{frame}[t,fragile]{White Rabbit Switch [9]}
\begin{center}
\includegraphics[width=\textwidth]{switch/wrSwitch_v3_3.jpg}
\begin{itemize}\small
......@@ -346,7 +353,7 @@ INRIM & Italy & 70~km & 610ps $\pm$47ps\\ \hline
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\begin{frame}{WR Node: carrier board + FMC}
\begin{frame}{WR Node [10]: carrier board + FMC}
\vspace{-0.5cm}
\begin{center}
\includegraphics[width=10cm]{node/shw_kit2.png}
......@@ -369,92 +376,125 @@ INRIM & Italy & 70~km & 610ps $\pm$47ps\\ \hline
\end{columns}
\end{frame}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\begin{frame}{White Rabbit PTP Core}
\begin{frame}{White Rabbit PTP Core [11]}
\begin{center}
\includegraphics[width=\textheight]{node/wrNode.jpg}
\end{center}
\end{frame}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\section{Performance}
\subsection{}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\subsection{Current}
\begin{frame}{WR time transfer performance: basic test setup}
\begin{center}
\includegraphics[height=7.0cm]{measurements/meas_setup.pdf}
\end{center}
\end{frame}
\begin{frame}{WR time transfer performance: test results}
\begin{center}
\includegraphics[height=6.0cm]{measurements/meas_results2.pdf}\\
Reported in 2011 in [4]
\end{center}
\end{frame}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\subsection{Improvements}
\begin{frame}{Performance limits and improvements}
\begin{center}
\includegraphics<1>[width=\textwidth]{misc/inaccuracy-sources.jpg}
\includegraphics<2>[width=\textwidth]{misc/inaccuracy-sources-fixed-delays.jpg}
\end{center}
\end{frame}
\begin{frame}{Hardware asymmetry compensation}
\begin{center}\vspace{-0.3cm}
\includegraphics<1-2>[height=2.3cm]{misc/inaccuracy-sources-fixed-delays.jpg}
\includegraphics<3>[height=2.3cm]{protocol/bitslide.jpg}
\includegraphics<4->[height=2.3cm]{misc/inaccuracy-sources-fixed-delays.jpg}
\end{center}
% \begin{center}\vspace{-0.3cm}
% \includegraphics<1-2>[height=2.3cm]{misc/inaccuracy-sources-fixed-delays.jpg}
% \includegraphics<3>[height=2.3cm]{protocol/bitslide.jpg}
% \includegraphics<4->[height=2.3cm]{misc/inaccuracy-sources-fixed-delays.jpg}
% \end{center}
\begin{columns}[c]
\column{0.81\textwidth}\vspace{-0.5cm}
\column{0.6\textwidth}\vspace{-0.5cm}
\begin{itemize}\scriptsize
\item<2-> \textbf{Bitslide} -- measurement uncertainty
\item<1-> \textbf{Bitslide} -- measurement uncertainty
\begin{itemize}\scriptsize
\item Measured each time link goes up
\item Value provided by GTX of FPGA
\item Error: $\pm$25ps [2]
\item Error: $\pm$25ps [7]
\item Remedy: ensure bitslide is zero \\(ongoing work at CERN)
\end{itemize}
\item<5-> \textbf{PCB, FPGA, SFP} -- hardware delay uncertainty
\item<2-> \textbf{PCB, FPGA, SFP} -- hardware delay uncertainty
\begin{itemize}\scriptsize
\item Calibration uncertiainty: sdev of 2ps [2]
\item Calibration uncertiainty: sdev of 2ps [7]
\item Linear dependency on temp (700ps over $-10..55^oC$):
\begin{itemize}\tiny
\item CuteWR: tx $-8.4ps/K$, rx $13.3ps/K$ [1]
\item Switch: 8ps/K [2]
\item WR-Zen: 4ps/K [2]
\item CuteWR: tx $-8.4ps/K$, rx $13.3ps/K$ [6]
\item Switch: 8ps/K [7]
\item WR-Zen: 4ps/K [7]
\end{itemize}
\item Remedy: active compensation \\(implemented for LHASSO, 50ps over $-10..55^oC$ [1])
\item Remedy: active compensation \\(implemented for LHASSO, 50ps over $-10..55^oC$ [6])
% \item SFP delay dependency on input power, error up to 30ps [2]
\end{itemize}
\end{itemize}
\column{0.38\textwidth}
\column{0.5\textwidth}
\begin{center}
\includegraphics<6>[width=\textwidth]{measurements/fixed-delays-temp-dependency.jpg}
\tiny\pause\pause\pause\pause\pause
Figure Figure source: [1]
\includegraphics<1>[width=\textwidth]{protocol/bitslide.jpg}
\includegraphics<2>[width=\textwidth]{measurements/fixed-delays-temp-dependency.jpg}\\
\tiny\pause
Figure source: [6]
\end{center}
\end{columns}
\end{frame}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\begin{frame}{Performance limits and improvements}
\begin{center}
\includegraphics[width=\textwidth]{misc/inaccuracy-sources-variable-delays.jpg}
\end{center}
\end{frame}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\begin{frame}{Medium asymmetry compensation}
\begin{center}\vspace{-0.3cm}
\includegraphics[height=2.3cm]{misc/inaccuracy-sources-variable-delays.jpg}
\end{center}
% \begin{center}\vspace{-0.3cm}
% \includegraphics[height=2.3cm]{misc/inaccuracy-sources-variable-delays.jpg}
% \end{center}
\begin{columns}[c]
\column{0.7\textwidth}\vspace{-0.5cm}
\begin{itemize}\scriptsize
\item<2-> \textbf{SFP} -- tx wavelength uncertainty
\item<1-> \textbf{SFP} -- tx wavelength uncertainty
\begin{itemize}\scriptsize
\item<3-> Allowed departure from nominal value \\(10nm at 1490nm, 50nm at 1310nm [2])
\item<4-> Linear dependency on SFP temp:
\item<2-> Allowed departure from nominal value \\(10nm at 1490nm, 50nm at 1310nm [7])
\item<3-> Linear dependency on SFP temp:
\begin{itemize}\tiny
\item SFP@1310nm: $0.11 ps/(K \cdot km)$ [1]
\item SFP@1490nm: $-0.51 ps/(K \cdot km)$ [1]
\item SFP@1550nm: $1.7ps/(K \cdot km)$ [2]
\item SFP@1310nm: $0.11 ps/(K \cdot km)$ [6]
\item SFP@1490nm: $-0.51 ps/(K \cdot km)$ [6]
\item SFP@1550nm: $1.7ps/(K \cdot km)$ [7]
\end{itemize}
\end{itemize}
\item<5-> \textbf{Fiber} -- chromatic dispersion variation
\item<4-> \textbf{Fiber} -- chromatic dispersion variation
\begin{itemize}\scriptsize
\item Linear dependency on fiber temp:
\begin{itemize}\tiny
\item G652.D at 1310/1490: $-0.2 ps/(K\cdot km)$ [1]
\item G652.D at 1310/1490: $-0.12 ps/(K\cdot km)$ [2]
\item G652.D at 1490/1550: $-0.05 ps/(K\cdot km)$ [2]
\item G652.D at 1310/1490: $-0.2 ps/(K\cdot km)$ [6]
\item G652.D at 1310/1490: $-0.12 ps/(K\cdot km)$ [7]
\item G652.D at 1490/1550: $-0.05 ps/(K\cdot km)$ [7]
\end{itemize}
\end{itemize}
\item<6-> Significant for links $>10km$
\item<7-> Remedy: temp-stabilized SFP, closer wavelength \\(CH21\& CH23 @ 1560.61 \& 1558.98 in SKA [1])
\item<5-> Significant for links $>10km$
\item<6-> Remedy: temp-stabilized SFP, closer wavelength \\(CH21\& CH23 @ 1560.61 \& 1558.98 in SKA [7])
\end{itemize}
\column{0.45\textwidth}
\begin{center}\vspace{-0.5cm}
\includegraphics<4>[width=0.6\textwidth]{measurements/sfp-temp-dependence.jpg}
\includegraphics<5-6>[width=\textwidth]{measurements/fiber-temp-dependency.jpg}
\includegraphics<7>[width=\textwidth]{applications/SKA-DWDM.jpg}
\includegraphics<3>[width=0.6\textwidth]{measurements/sfp-temp-dependence.jpg}
\includegraphics<4-5>[width=\textwidth]{measurements/fiber-temp-dependency.jpg}
\includegraphics<6>[width=\textwidth]{applications/SKA-DWDM.jpg}
% \tiny\pause\pause\pause
% Figure source: [1]
\end{center}
......@@ -465,70 +505,323 @@ INRIM & Italy & 70~km & 610ps $\pm$47ps\\ \hline
\begin{frame}{Frequency transfer}
\begin{center}\vspace{-0.3cm}
\includegraphics[height=2.3cm]{misc/inaccuracy-sources-freq-transfer.jpg}\\
\includegraphics[width=.85\textwidth]{switch/wrs_v3_3_clocking.png}
\includegraphics[width=.95\textwidth]{switch/wrs_v3_3_clocking_with_bandwidth.png}
\end{center}
\end{frame}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\begin{frame}{Frequency transfer}
\begin{center}\vspace{-0.3cm}
\includegraphics[height=2.3cm]{misc/inaccuracy-sources-freq-transfer.jpg}
% \begin{center}\vspace{-0.3cm}
% \includegraphics[height=2.3cm]{misc/inaccuracy-sources-freq-transfer.jpg}
% \end{center}
\begin{columns}[c]
\column{0.6\textwidth}\vspace{-0.5cm}
\begin{itemize}\scriptsize
\item<1-> \textbf{DDMTD}
\begin{itemize}\scriptsize
\item Flicker PM noise: -100 dBc at 1 Hz
\begin{itemize}\tiny
\item $<$ 10Hz, limits MDEV at $\tau=1s$ to 4E-13
\item LVDS input clock buffer and clock routing
\end{itemize}
\item White PM noise: -108 dBc
\begin{itemize}\tiny
\item Limits the phase noise to -108 dBc/Hz
\item Thermal, DFF meta-stability, noise due to aliasing
\end{itemize}
\item<2-> Stability at $\tau$=1s better on\\ Kintex-7 (28nm) \& Kintex US (20nm)
\end{itemize}
\item<3-> \textbf{GTX}
\begin{itemize}\scriptsize
\item Flicker PM noise: -97 dBc at 1 Hz
\item White PM noise: -106 dBc\\ MDEV at $\tau=1s$ to 4E-13
\end{itemize}
\end{itemize}
\column{0.5\textwidth}
\begin{center}\vspace{-0.5cm}
\includegraphics<1>[width=.99\textwidth]{measurements/DDMTD-noise.jpg}
\includegraphics<2>[width=.99\textwidth]{measurements/DDMTD-future-tech-noise.jpg}
\end{center}
\end{columns}
\begin{center}
\tiny All above data is based on [13]
\end{center}
\end{frame}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\begin{frame}{Frequency transfer}
% \begin{center}\vspace{-0.3cm}
% \includegraphics[height=2.3cm]{misc/inaccuracy-sources-freq-transfer.jpg}
% \end{center}
\vspace{0.5cm}
\begin{columns}[c]
\column{0.7\textwidth}\vspace{-0.5cm}
\column{0.67\textwidth}\vspace{-0.5cm}
\begin{itemize}\scriptsize
\item \textbf{External reference input} -- noise
\item \textbf{FPGA \& DDMTD} -- noise
\item \textbf{VCXO} -- nose
\end{itemize}
\item<1-> Accumulation of phase noise in lower frquencies
\item<2-> \textbf{VCXO}
\begin{itemize}\scriptsize
\item Phase noise leaking from the local oscillator
\item Instabilities induced by cooling airflow
\item Remedy: increase bandwidth (see [14]) or better oscillator (see daughterboard [15])
\end{itemize}
\item<3->\textbf{External reference input}
\begin{itemize}\scriptsize
\item Noisy internal MMCM PLL
\item Large phase noise power at 10kHz to 2MHz
\item Remedy: external PLL to sythesize 62.5MHz from 10MHz (see daughterboard [15])
\end{itemize}
\column{0.45\textwidth}
\begin{center}\vspace{-0.5cm}
\end{itemize}
\begin{table}[ht]
\centering
\tiny
\begin{tabular}{|l | c | c | c | c | c | } \hline \tiny
\textbf{Meas.} & \multicolumn{5}{|c|}{\textbf{Allan Deviation (ADEV)}} \\ \cline{2-6}
\textbf{at} & $\tau$=0.01 s & $\tau$=0.1 s & $\tau$=1 s & $\tau$=10 s & $\tau$=100 s \\ \cline{2-6}
& [s] & [s] & [s] & [s] & [s] \\ \hline
GM & 9.2e-10 & 1.3e-10 & 1.3e-11 & 1.3e-12 & 1.3e-13 \\ \hline
SW 1 & 7.4e-10 & 1.6e-10 & 1.9e-11 & 1.9e-12 & 1.9e-13 \\ \cline{1-6}
SW 2 & 6.9e-10 & 2.1e-10 & 2.7e-11 & 2.6e-12 & 2.6e-13 \\ \cline{1-6}
\end{tabular}
% \caption{Allan Deviation, equivalent noise bandwidth of 50Hz.}
\label{tab:adev}
\end{table}%\vspace{-0.3cm}
\column{0.5\textwidth}
\begin{center}\vspace{-0.5cm}\vspace{0.5cm}
\includegraphics<1-2>[width=.99\textwidth]{measurements/phase_noise_v3_4.pdf}
\includegraphics<3>[width=1.08\textwidth]{switch/mmcm_noise.png}
\includegraphics<4>[width=.45\textheight, angle=90]{measurements/WRSlowJitter/rsz_3d_image__1_.jpg}
\tiny
\begin{table}[!ht]
\centering
\tiny
\begin{tabular}{| l | c | c | c |} \hline \tiny
\textbf{Meas.} & \multicolumn{3}{|c|}{\textbf{RMS jitter}} \\ \cline{2-4}
\textbf{at} & \textbf{1Hz-10Hz} & \textbf{1Hz-2kHz} & \textbf{1Hz-100kHz} \\ \hline
GM & 4.7ps & 9.0ps & 9.1ps \\ \hline
SW 1 & 7.1ps & 11.0ps & 11.0ps \\ \cline{1-4}
SW 2 & 8.8ps & 14.0ps & 14.0ps \\ \hline
\end{tabular}
% \caption{Integrated RMS jitter in different regions of the spectrum.}
\label{tab:phaseNoise}
\end{table}%\vspace{-0.3cm}
\end{center}
\end{columns}
\begin{center}
\tiny Data from [14]
\end{center}
\end{frame}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\subsection{}
\begin{frame}{Performance Enhancements}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\begin{itemize}\footnotesize
\item<1-> Compensation of hardware temperature variation
\begin{itemize}\scriptsize
\item Triggered by cosmic ray detectors
\item Active correction of hardware temperature variation
\item Pk-pk variation from 700 ps to \textbf{$<$150 ps with sdev $<$50ps (-10 to 50$^o$C)}
\end{itemize}
\item<2-> Link asymmetry correction
\begin{itemize}\scriptsize
\item Triggered by radio telescope (Square Kilometre Array)
\item At 1310/1490nm, temp variation -0.12 ps/km/K (3ns for 80km over 50$^o$C)
\item \textbf{Sub-ns for 80km over 50$^o$C} using DWDM SFP on ITU channels C21/C22 (1560.61/1558.98 nm)
\end{itemize}
\item<3-> Absolute calibration
\begin{itemize}\scriptsize
\item Triggered by
\item
\item
\end{itemize}
\item<4-> Long-haul link
\begin{itemize}\scriptsize
\item Triggered by National Time Labs and Radio Telescope
\item \textbf{Sub-ns} is achievable on links on \textbf{up to 80km}
\item \textbf{Ns on 137km} bidirectional \& \textbf{$\pm$2.5ns on 950km} unidirectional links
\end{itemize}
\item<5-> Jitter and clock stability (next slide)
\begin{frame}{Test setup for switch with Low Jitter Daughterboard}
\begin{center}
\includegraphics[width=\textwidth]{measurements/WRSlowJitter/rsz_experimental_setup.png}\\
\tiny See more: [15]
\end{center}
\end{frame}
%
% \begin{frame}{Improvements for GM: PM noise and Modified ADEV}
% \begin{center}
% \includegraphics[width=.5\textwidth]{measurements/WRSlowJitter/pn.png}
% \includegraphics[width=.5\textwidth]{measurements/WRSlowJitter/mdev.png}
% \end{center}
% \begin{itemize}\scriptsize
% \item Jitter improvement: 9ps to $<$2ps RMS 10Hz-100kHz
% \item ADEV improvement: 1.4E-11 to $<$5E-13 $\tau$=1s ENBW 50Hz
% \end{itemize}
% \end{frame}
\begin{frame}{Switch with LJD: PM noise and Modified ADEV}
\vspace{-0.5cm}
\begin{center}
\includegraphics[width=.5\textwidth]{measurements/WRSlowJitter/GM+BC_pn.jpg}
\includegraphics[width=.45\textwidth]{measurements/WRSlowJitter/GM+BC_MDEV.jpg}
\end{center}
\begin{itemize}\scriptsize
\item Jitter improvement [13, 15]
\begin{itemize}\scriptsize
\item GM: 9ps to $<$2ps RMS 10Hz-100kHz
\item BC:
\end{itemize}
\item ADEV improvement [13, 15]
\begin{itemize}\scriptsize
\item GM: 1.4E-11 to $<$5E-13 $\tau$=1s ENBW 50Hz
\item BC:
\end{itemize}
\end{itemize}
\end{frame}
\section{Current developments}
\subsection{}
\begin{frame}{Current developments}
\begin{itemize}\small
\item<1-> Standardization in IEEE 1588:
\begin{itemize}\scriptsize
\item High Accuracy sub-committee in dedicated to WR
\item WR to become Default High Accuracy Profile
\item Revised standard expected in 2019.
\end{itemize}
\item<2-> Long-haul link
\begin{itemize}\scriptsize
\item Triggered by National Time Labs and Radio Telescope
\item \textbf{Sub-ns} is achievable on links on \textbf{up to 80km}
\item \textbf{Ns on 137km} bidirectional \& \textbf{$\pm$2.5ns on 950km} unidirectional links
\end{itemize}
\item<3-> Absolute Calibration
\item<4-> WR-based applications
\begin{itemize}\scriptsize
\item Better diagnostics and remote management of WR networks
\item Radio-frequency over WR for RF cavities control
\item Distributed Oscilloscope
\end{itemize}
\end{itemize}
\end{frame}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
% \begin{frame}{Current developments}
% \begin{block}{Switches and nodes are commercially available}
% Work now revolves around better diagnostics and remote management of WR
% networks as well as improving the phase noise and performing extensive network stress tests.
% \end{block}
% \pause
% \begin{block}{Standardisation}
% IEEE 1588 revision process is ongoing and contains a sub-committee (High
% Accuracy) dedicated to White Rabbit. Revised standard expected in 2019.
% \end{block}
% \pause
% \begin{block}{Robustness}
% Based on redundant information and fast switch-over between
% redundant fibres and switches.
% \end{block}
% \end{frame}
%
\begin{frame}{RF over WR a.k.a. Distributed DDS}
\begin{center}
\includegraphics[width=\columnwidth]{applications/remote_dds.pdf}
\end{center}
\begin{block}{Distributed Direct Digital Synthesis}
\begin{itemize}
\item Replaces dozens of cables with a single fiber.
\item Works over big distances without degrading signal quality.
\item Can provide various clocks (RF of many rings and linacs) with a single, standard link.
\item At CERN, it requires distribution of 200 MHz RF with 0.25ps RM jitter and $\pm$10ps accuracy.
\end{itemize}
\end{block}
\end{frame}
\begin{frame}{Distributed oscilloscope}
\begin{center}
\includegraphics[width=0.9\textwidth]{applications/distr_oscill.pdf}
\end{center}
\begin{block}{}
\begin{itemize}
\item Common clock in entire network: no skew between ADCs.
\item Ability to sample with different clocks via Distributed DDS.
\item External triggers can be time tagged with a TDC and used to reconstruct the original time base in the operator's PC.
\end{itemize}
\end{block}
\end{frame}
\section{Conclusions}
\subsection{}
\begin{frame}{Performance Enhancements}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\begin{frame}{Summary}
\begin{itemize}
\item Scientific, open (H/W \& S/W), with commercial support
\pause
\item Standard-compatible and standard-extending
\pause
\item More applications than ever expected
\pause
\item A versatile solution for general control and data acquisition
\pause
\item Substantial improvements in performance
\pause
\item Active participation in IEEE1588 revision process
\end{itemize}
% \pause
%For more information see http://www.ohwr.org/projects/white-rabbit/wiki
\end{frame}
\begin{frame}{Need more information?}
\begin{center}
\includegraphics[height=4.0cm]{misc/white_rabbit_end.png}
\end{center}
\begin{center}
http://www.ohwr.org/projects/white-rabbit/wiki
\end{center}
\end{frame}
\appendix
\backupbegin
\begin{frame}{References}
\tiny
\begin{enumerate}
\item \textbf{White Rabbit Project:}\url{https://www.ohwr.org/project/white-rabbit/wikis}
\item \textbf{Companies selling WR:}\url{https://www.ohwr.org/project/white-rabbit/wrcompanies}
\item \textbf{Users of WR:}\url{https://www.ohwr.org/project/white-rabbit/WRUsers}
\item \textbf{White Rabbit Applications and Enhancements}, M.Lipinski et. al, ISPCS2018\\\url{https://www.ohwr.org/project/white-rabbit/uploads/7f9e67258850d5c036629a509bf2e124/ISPCS2018-WRApplicatoinsAndEnhancements.pdf}
\item \textbf{White Rabbit Newsletter, September 2018} \\\url{https://www.ohwr.org/project/white-rabbit/wikis/newsletter-2018-09}
\item \textbf{Temperature Effect and Correction Method of White Rabbit Timing Link}; Hongming Li, Guanghua Gong, Weibin Pan, Qiang Du, Jianmin Li
\item \textbf{DWDM Stabilized Optics for White Rabbit}, Paul Boven
\item \textbf{WR Calibration}, version 1.1, G.Daniluk\\ \url{www.cern.ch/white-rabbit/documents/WR_Calibration-v1.1-20151109.pdf}
\item \textbf{White Rabbit Switch:} \url{https://www.ohwr.org/project/white-rabbit/wikis/Switch}
\item \textbf{White Rabbit Node:} \url{https://www.ohwr.org/project/white-rabbit/wikis/Node}
\item \textbf{White Rabbit PTP Core:} \url{https://www.ohwr.org/project/wr-cores/wikis/Wrpc-core}
\item \textbf{White Rabbit: a PTP application for robust sub-nanosecond synchronization}, M. Lipiński et el, ISPCS2011\\\url{https://www.ohwr.org/project/white-rabbit/uploads/cfc34350adcbf5156f968fac0b9301b5/ISPCS2011_WR.pdf}
\item \textbf{White Rabbit Clock Synchronization: Ultimate Limits on Close-In Phase Noise and Short-Term Stability Due to FPGA Implementation}, M.Rizzi et el, UFFC-T, 2018\\\url{https://www.ohwr.org/project/white-rabbit/uploads/253cbfc17d2b43cd445b68348aee0374/Submitted_IEEE.pdf}
\item \textbf{White Rabbit Clock Characteristics}, M. Rizzi et el, ISPCS2016\\\url{https://www.ohwr.org/project/white-rabbit/uploads/2fa1a438446fc6c85b4540faecf1017a/ISPCS2016-WRClockCharacteristics.pdf}
\item \textbf{WRS Low Jitter Daughterboard:}\url{www.ohwr.org/projects/wrs-low-jitter}
\end{enumerate}
\end{frame}
% %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
% \subsection{}
% \begin{frame}{Performance Enhancements}
% %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
% \begin{itemize}\footnotesize
% \item<1-> Compensation of hardware temperature variation
% \begin{itemize}\scriptsize
% \item Triggered by cosmic ray detectors
% \item Active correction of hardware temperature variation
% \item Pk-pk variation from 700 ps to \textbf{$<$150 ps with sdev $<$50ps (-10 to 50$^o$C)}
% \end{itemize}
% \item<2-> Link asymmetry correction
% \begin{itemize}\scriptsize
% \item Triggered by radio telescope (Square Kilometre Array)
% \item At 1310/1490nm, temp variation -0.12 ps/km/K (3ns for 80km over 50$^o$C)
% \item \textbf{Sub-ns for 80km over 50$^o$C} using DWDM SFP on ITU channels C21/C22 (1560.61/1558.98 nm)
% \end{itemize}
% \item<3-> Absolute calibration
% \begin{itemize}\scriptsize
% \item Triggered by
% \item
% \item
% \end{itemize}
% \item<4-> Long-haul link
% \begin{itemize}\scriptsize
% \item Triggered by National Time Labs and Radio Telescope
% \item \textbf{Sub-ns} is achievable on links on \textbf{up to 80km}
% \item \textbf{Ns on 137km} bidirectional \& \textbf{$\pm$2.5ns on 950km} unidirectional links
% \end{itemize}
% \item<5-> Jitter and clock stability (next slide)
% \end{itemize}
%
% \end{frame}
%
% %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
% \subsection{}
% \begin{frame}{Performance Enhancements}
% %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
% \begin{itemize}\scriptsize
% \item The short-term performance of WR
% time-transfer directly depends on two design choices of the
......@@ -612,152 +905,47 @@ INRIM & Italy & 70~km & 610ps $\pm$47ps\\ \hline
% \end{itemize}
\end{frame}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\subsection{}
\begin{frame}{Performance Enhancements}
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\begin{itemize}\scriptsize
\item Triggered by National Laboratories and RF distribution
\item Allan deviation (ADEV) from 1e-11 to \textbf{1e-12} over 1s
\item Random jitter from 11 to \textbf{1.1ps RMS} (1 Hz to 100kHz)
\item Ongong work to achieve jitter of \textbf{sub-100fs RMS} (100Hz to 20MHz)
\end{itemize}
\end{frame}
\begin{frame}{Test setup for 10MHz switch output}
\begin{center}
\includegraphics[width=\textwidth]{measurements/WRSlowJitter/rsz_experimental_setup.png}
\end{center}
\end{frame}
\begin{frame}{WR switch clocking scheme}{Thanks to Mattia Rizzi for the work and
the figures in this section}
\begin{center}
\includegraphics[width=.85\textwidth]{switch/wrs_v3_3_clocking.png}
\end{center}
\end{frame}
\begin{frame}{MMCM noise}
\begin{center}
\includegraphics[height=.7\textheight]{switch/mmcm_noise.png}
\end{center}
\end{frame}
\begin{frame}{WR Switch: low jitter daughterboard}
\begin{columns}
\column{.35\textwidth}
\includegraphics[width=.8\textheight, angle=90]{measurements/WRSlowJitter/rsz_3d_image__1_.jpg}
\column{.65\textwidth}
\begin{itemize}
\item Current release of WRS in GM mode has sub-optimal performance on both jitter (9ps RMS 1Hz-100kHz) and ADEV (1.4E-11 $\tau$=1s ENBW 50Hz)
\item A daughterboard was designed, produced and tested to improve the performance
\item Modified WRS improves performance on both jitter ($<$2ps RMS 10Hz-100kHz) and ADEV ($<$5E-13 $\tau$=1s ENBW 50Hz) in GM mode
\end{itemize}
\end{columns}
\end{frame}
\begin{frame}{Test Results in GM mode: PM noise}
\begin{center}
\includegraphics[height=.85\textheight]{measurements/WRSlowJitter/pn.png}
\end{center}
\end{frame}
\begin{frame}{Test Results in GM mode: Modified ADEV}
\begin{center}
\includegraphics[height=.85\textheight]{measurements/WRSlowJitter/mdev.png}
\end{center}
\end{frame}
\section{Current developments}
\subsection{}
\begin{frame}{Current developments}
\begin{block}{Switches and nodes are commercially available}
Work now revolves around better diagnostics and remote management of WR
networks as well as improving the phase noise and performing extensive network stress tests.
\end{block}
\pause
\begin{block}{Standardisation}
IEEE 1588 revision process is ongoing and contains a sub-committee (High
Accuracy) dedicated to White Rabbit. Revised standard expected in 2019.
\end{block}
\pause
\begin{block}{Robustness}
Based on redundant information and fast switch-over between
redundant fibres and switches.
\end{block}
\end{frame}
\begin{frame}{Ethernet Clock distribution a.k.a. Distributed DDS}
\begin{center}
\includegraphics[width=\columnwidth]{applications/remote_dds.pdf}
\end{center}
\begin{block}{Distributed Direct Digital Synthesis}
\begin{itemize}
\item Replaces dozens of cables with a single fiber.
\item Works over big distances without degrading signal quality.
\item Can provide various clocks (RF of many rings and linacs)
with a single, standard link.
\end{itemize}
\end{block}
\end{frame}
\begin{frame}{Distributed oscilloscope}
\begin{center}
\includegraphics[width=0.9\textwidth]{applications/distr_oscill.pdf}
\end{center}
\begin{block}{}
\begin{itemize}
\item Common clock in entire network: no skew between ADCs.
\item Ability to sample with different clocks via Distributed DDS.
\item External triggers can be time tagged with a TDC and used to reconstruct the original time base in the operator's
PC.
\end{itemize}
\end{block}
\end{frame}
\section{Conclusions}
\subsection{}
\begin{frame}{Summary}
\begin{itemize}
\item Scientific, open (H/W \& S/W), with commercial support
\pause
\item More applications than ever expected
\pause
\item A versatile solution for general control and data acquisition
\pause
\item Standard-compatible and standard-extending
\pause
\item Active participation in IEEE1588 revision process
\end{itemize}
% \pause
%For more information see http://www.ohwr.org/projects/white-rabbit/wiki
\end{frame}
\begin{frame}{Need more information?}
\begin{center}
\includegraphics[height=4.0cm]{misc/white_rabbit_end.png}
\end{center}
\begin{center}
http://www.ohwr.org/projects/white-rabbit/wiki
\end{center}
\end{frame}
\begin{frame}{References}
\tiny
\begin{itemize}
\item White Rabbit Project:\\\url{https://www.ohwr.org/project/white-rabbit/wikis}
\item Companies selling WR:\\\url{https://www.ohwr.org/project/white-rabbit/wrcompanies}
\item Users of WR:\\\url{https://www.ohwr.org/project/white-rabbit/WRUsers}
\item White Rabbit Applications and Enhancements, M.Lipinski et. al, ISPCS2018\\\url{https://www.ohwr.org/project/white-rabbit/uploads/7f9e67258850d5c036629a509bf2e124/ISPCS2018-WRApplicatoinsAndEnhancements.pdf}
\item WR Calibration, version 1.1, G.Daniluk\\ \url{www.cern.ch/white-rabbit/documents/WR_Calibration-v1.1-20151109.pdf}
\item \textit{Temperature Effect and Correction Method of White Rabbit Timing Link}; Hongming Li, Guanghua Gong, Weibin Pan, Qiang Du, Jianmin Li
\item \textit{DWDM Stabilized Optics for White Rabbit}, Paul Boven
\end{itemize}
% \end{frame}
% %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
% \subsection{}
% \begin{frame}{Performance Enhancements}
% %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
% \begin{itemize}\scriptsize
% \item Triggered by National Laboratories and RF distribution
% \item Allan deviation (ADEV) from 1e-11 to \textbf{1e-12} over 1s
% \item Random jitter from 11 to \textbf{1.1ps RMS} (1 Hz to 100kHz)
% \item Ongong work to achieve jitter of \textbf{sub-100fs RMS} (100Hz to 20MHz)
% \end{itemize}
%
% \end{frame}
%
% \begin{frame}{WR switch clocking scheme}{Thanks to Mattia Rizzi for the work and
% the figures in this section}
% \begin{center}
% \includegraphics[width=.85\textwidth]{switch/wrs_v3_3_clocking.png}
% \end{center}
% \end{frame}
%
% \begin{frame}{MMCM noise}
% \begin{center}
% \includegraphics[height=.7\textheight]{switch/mmcm_noise.png}
% \end{center}
% \end{frame}
%
% \begin{frame}{WR Switch: low jitter daughterboard}
% \begin{columns}
% \column{.35\textwidth}
% \includegraphics[width=.8\textheight, angle=90]{measurements/WRSlowJitter/rsz_3d_image__1_.jpg}
% \column{.65\textwidth}
% \begin{itemize}
% \item Current release of WRS in GM mode has sub-optimal performance on both jitter (9ps RMS 1Hz-100kHz) and ADEV (1.4E-11 $\tau$=1s ENBW 50Hz)
% \item A daughterboard was designed, produced and tested to improve the performance
% \item Modified WRS improves performance on both jitter ($<$2ps RMS 10Hz-100kHz) and ADEV ($<$5E-13 $\tau$=1s ENBW 50Hz) in GM mode
% \end{itemize}
% \end{columns}
% \end{frame}
\backupend
\end{frame}
\end{document}
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment