Commit ed7d3ae0 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

main testbench: wip

parent 21b1b675
####################################
# This file was generated by hdlmake
# http://ohwr.org/projects/hdl-make/
####################################
#######################################################################
# This makefile has been automatically generated by hdl-make
# on Wed, 01 Jun 2011 15:20:51
#######################################################################
########################################
# This file was generated by hdlmake #
# http://ohwr.org/projects/hdl-make/ #
########################################
## variables #############################
PWD := $(shell pwd)
WORK_NAME := work
MODELSIM_INI_PATH := /opt/modelsim_65e/modeltech
MODELSIM_INI_PATH := /opt/modelsim_10
VCOM_FLAGS := -nologo -quiet -93 -modelsimini ./modelsim.ini
VSIM_FLAGS :=
VLOG_FLAGS := -nologo -quiet -sv -modelsimini $(PWD)/modelsim.ini +incdir+../../include
VCOM_FLAGS := -quiet -modelsimini modelsim.ini
VSIM_FLAGS :=
VLOG_FLAGS := -quiet -modelsimini modelsim.ini
VERILOG_SRC := main.sv \
../../ip_cores/general-cores/modules/wishbone/wb_spi/spi_clgen.v \
../../ip_cores/general-cores/modules/wishbone/wb_spi/spi_shift.v \
../../ip_cores/general-cores/modules/wishbone/wb_spi/spi_top.v \
../../ip_cores/wr-cores/modules/wrc_lm32/lm32_cpu.v \
../../ip_cores/wr-cores/modules/wrc_lm32/lm32_addsub.v \
../../ip_cores/wr-cores/modules/wrc_lm32/lm32_top.v \
......@@ -32,129 +24,282 @@ VERILOG_SRC := main.sv \
../../ip_cores/wr-cores/modules/wrc_lm32/lm32_multiplier.v \
../../ip_cores/wr-cores/modules/wrc_lm32/lm32_interrupt.v \
../../ip_cores/wr-cores/modules/wrc_lm32/lm32_dp_ram.v \
../../ip_cores/general-cores/modules/wishbone/wb_onewire_master/sockit_owm.v \
../../ip_cores/general-cores/modules/wishbone/wb_spi/spi_clgen.v \
../../ip_cores/general-cores/modules/wishbone/wb_spi/spi_shift.v \
../../ip_cores/general-cores/modules/wishbone/wb_spi/spi_top.v \
VERILOG_OBJ := work/main/.main \
work/spi_clgen/.spi_clgen \
work/spi_shift/.spi_shift \
work/spi_top/.spi_top \
work/lm32_cpu/.lm32_cpu \
work/lm32_addsub/.lm32_addsub \
work/lm32_top/.lm32_top \
work/lm32_instruction_unit/.lm32_instruction_unit \
work/lm32_decoder/.lm32_decoder \
work/lm32_load_store_unit/.lm32_load_store_unit \
work/lm32_adder/.lm32_adder \
work/lm32_logic_op/.lm32_logic_op \
work/lm32_shifter/.lm32_shifter \
work/lm32_multiplier/.lm32_multiplier \
work/lm32_interrupt/.lm32_interrupt \
work/lm32_dp_ram/.lm32_dp_ram \
VHDL_OBJ := work/wbgen2_pkg/.wbgen2_pkg \
work/fd_cal_pulse_gen/.fd_cal_pulse_gen \
work/fine_delay_pkg/.fine_delay_pkg \
work/fd_wbgen2_pkg/.fd_wbgen2_pkg \
work/fine_delay_core/.fine_delay_core \
work/fd_delay_line_driver/.fd_delay_line_driver \
work/fd_acam_timestamper/.fd_acam_timestamper \
work/clock_generator_ddr_s2_diff/.clock_generator_ddr_s2_diff \
work/clock_generator_pll_s2_diff/.clock_generator_pll_s2_diff \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg \
work/dma_controller_wb_slave/.dma_controller_wb_slave \
work/gn4124_core_pkg/.gn4124_core_pkg \
work/l2p_arbiter/.l2p_arbiter \
work/genram_pkg/.genram_pkg \
work/p2l_decode32/.p2l_decode32 \
work/p2l_dma_master/.p2l_dma_master \
work/serdes_1_to_n_clk_ddr_s2_diff/.serdes_1_to_n_clk_ddr_s2_diff \
work/serdes_1_to_n_clk_pll_s2_diff/.serdes_1_to_n_clk_pll_s2_diff \
work/serdes_1_to_n_data_ddr_s2_se/.serdes_1_to_n_data_ddr_s2_se \
work/serdes_1_to_n_data_s2_se/.serdes_1_to_n_data_s2_se \
work/serdes_n_to_1_ddr_s2_diff/.serdes_n_to_1_ddr_s2_diff \
work/serdes_n_to_1_ddr_s2_se/.serdes_n_to_1_ddr_s2_se \
work/serdes_n_to_1_s2_diff/.serdes_n_to_1_s2_diff \
work/serdes_n_to_1_s2_se/.serdes_n_to_1_s2_se \
work/wbmaster32/.wbmaster32 \
work/gn4124_core/.gn4124_core \
work/dma_controller/.dma_controller \
work/l2p_ser/.l2p_ser \
work/p2l_des/.p2l_des \
work/gencores_pkg/.gencores_pkg \
work/gc_crc_gen/.gc_crc_gen \
work/gc_moving_average/.gc_moving_average \
work/gc_extend_pulse/.gc_extend_pulse \
work/gc_delay_gen/.gc_delay_gen \
work/gc_dual_pi_controller/.gc_dual_pi_controller \
work/gc_serial_dac/.gc_serial_dac \
work/gc_sync_ffs/.gc_sync_ffs \
work/l2p_dma_master/.l2p_dma_master \
work/wishbone_pkg/.wishbone_pkg \
work/wb_cpu_bridge/.wb_cpu_bridge \
work/wb_conmax_pri_dec/.wb_conmax_pri_dec \
work/wb_conmax_pri_enc/.wb_conmax_pri_enc \
work/wb_conmax_arb/.wb_conmax_arb \
work/wb_conmax_msel/.wb_conmax_msel \
work/wbconmax_pkg/.wbconmax_pkg \
work/wb_conmax_slave_if/.wb_conmax_slave_if \
work/wb_conmax_master_if/.wb_conmax_master_if \
work/wb_conmax_rf/.wb_conmax_rf \
work/wb_conmax_top/.wb_conmax_top \
work/wb_gpio_port/.wb_gpio_port \
work/wb_tics/.wb_tics \
work/uart_async_rx/.uart_async_rx \
work/uart_async_tx/.uart_async_tx \
work/uart_baud_gen/.uart_baud_gen \
work/uart_wb_slave/.uart_wb_slave \
work/wb_simple_uart/.wb_simple_uart \
work/vic_prio_enc/.vic_prio_enc \
work/wb_vic/.wb_vic \
work/wb_virtual_uart/.wb_virtual_uart \
work/wb_virtual_uart_slave/.wb_virtual_uart_slave \
work/wbgen2_dpssram/.wbgen2_dpssram \
work/wbgen2_eic/.wbgen2_eic \
work/wbgen2_fifo_async/.wbgen2_fifo_async \
work/wbgen2_fifo_sync/.wbgen2_fifo_sync \
work/fine_delay_wb/.fine_delay_wb \
work/generic_async_fifo/.generic_async_fifo \
work/generic_dpram/.generic_dpram \
work/generic_spram/.generic_spram \
work/generic_sync_fifo/.generic_sync_fifo \
work/gtp_bitslide/.gtp_bitslide \
work/gtp_phase_align/.gtp_phase_align \
work/whiterabbitgtp_wrapper/.whiterabbitgtp_wrapper \
work/whiterabbitgtp_wrapper_tile/.whiterabbitgtp_wrapper_tile \
work/wr_gtp_phy_spartan6/.wr_gtp_phy_spartan6 \
work/dmtd_phase_meas/.dmtd_phase_meas \
work/dmtd_with_deglitcher/.dmtd_with_deglitcher \
work/multi_dmtd_with_deglitcher/.multi_dmtd_with_deglitcher \
work/hpll_period_detect/.hpll_period_detect \
work/minic_packet_buffer/.minic_packet_buffer \
work/minic_wb_slave/.minic_wb_slave \
work/endpoint_pkg/.endpoint_pkg \
work/softpll_wb/.softpll_wb \
work/wr_softpll/.wr_softpll \
work/wrc_lm32/.wrc_lm32 \
work/wr_mini_nic/.wr_mini_nic \
work/ep_enc_8b10b/.ep_enc_8b10b \
work/ep_dec_8b10b/.ep_dec_8b10b \
work/ep_rx_pcs_tbi/.ep_rx_pcs_tbi \
work/ep_tx_pcs_tbi/.ep_tx_pcs_tbi \
work/ep_autonegotiation/.ep_autonegotiation \
work/ep_pcs_tbi_mdio_wb/.ep_pcs_tbi_mdio_wb \
work/ep_1000basex_pcs/.ep_1000basex_pcs \
work/ep_rx_crc_size_check/.ep_rx_crc_size_check \
work/ep_rx_deframer/.ep_rx_deframer \
work/ep_tx_framer/.ep_tx_framer \
work/ep_flow_control/.ep_flow_control \
work/ep_timestamping_unit/.ep_timestamping_unit \
work/ep_rmon_counters/.ep_rmon_counters \
work/ep_rx_buffer/.ep_rx_buffer \
work/ep_sync_detect/.ep_sync_detect \
work/ep_wishbone_controller/.ep_wishbone_controller \
work/ep_ts_counter/.ep_ts_counter \
work/wrsw_endpoint/.wrsw_endpoint \
work/pps_gen_wb/.pps_gen_wb \
work/wrsw_pps_gen/.wrsw_pps_gen \
VERILOG_OBJ := work/main/.main_sv \
work/lm32_cpu/.lm32_cpu_v \
work/lm32_addsub/.lm32_addsub_v \
work/lm32_top/.lm32_top_v \
work/lm32_instruction_unit/.lm32_instruction_unit_v \
work/lm32_decoder/.lm32_decoder_v \
work/lm32_load_store_unit/.lm32_load_store_unit_v \
work/lm32_adder/.lm32_adder_v \
work/lm32_logic_op/.lm32_logic_op_v \
work/lm32_shifter/.lm32_shifter_v \
work/lm32_multiplier/.lm32_multiplier_v \
work/lm32_interrupt/.lm32_interrupt_v \
work/lm32_dp_ram/.lm32_dp_ram_v \
work/sockit_owm/.sockit_owm_v \
work/spi_clgen/.spi_clgen_v \
work/spi_shift/.spi_shift_v \
work/spi_top/.spi_top_v \
VHDL_SRC := ../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_pkg.vhd \
../../ip_cores/general-cores/modules/common/gencores_pkg.vhd \
../../ip_cores/general-cores/modules/genrams/genram_pkg.vhd \
../../rtl/fd_ts_adder.vhd \
../../rtl/fd_ts_normalizer.vhd \
../../rtl/fd_wbgen2_pkg.vhd \
../../rtl/fd_csync_generator.vhd \
../../rtl/fd_timestamper_stat_unit.vhd \
../../rtl/fd_acam_timestamp_postprocessor.vhd \
../../rtl/fine_delay_pkg.vhd \
../../rtl/fd_delay_line_arbiter.vhd \
../../rtl/fd_rearm_generator.vhd \
../../rtl/fd_reset_generator.vhd \
../../rtl/fd_spi_master.vhd \
../../rtl/fd_spi_dac_arbiter.vhd \
../../rtl/fd_delay_channel_driver.vhd \
../../ip_cores/general-cores/modules/wishbone/wishbone_pkg.vhd \
../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/spartan6/gn4124_core_private_pkg.vhd \
../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/dma_controller_wb_slave.vhd \
../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/gn4124_core_pkg.vhd \
../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/l2p_arbiter.vhd \
../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/l2p_dma_master.vhd \
../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/p2l_decode32.vhd \
../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/p2l_dma_master.vhd \
../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/wbmaster32.vhd \
../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/gtp_bitslide.vhd \
../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/gtp_phase_align.vhd \
../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/whiterabbitgtp_wrapper_tile.vhd \
../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/wr_gtp_phy_spartan6.vhd \
../../ip_cores/wr-cores/modules/timing/dmtd_phase_meas.vhd \
../../ip_cores/wr-cores/modules/timing/dmtd_with_deglitcher.vhd \
../../ip_cores/wr-cores/modules/timing/multi_dmtd_with_deglitcher.vhd \
../../ip_cores/wr-cores/modules/timing/hpll_period_detect.vhd \
../../ip_cores/wr-cores/modules/wr_mini_nic/minic_packet_buffer.vhd \
../../ip_cores/wr-cores/modules/wr_mini_nic/minic_wb_slave.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/endpoint_pkg.vhd \
../../ip_cores/wr-cores/modules/wr_softpll/softpll_wb.vhd \
../../ip_cores/wr-cores/modules/wr_softpll/wr_softpll.vhd \
../../ip_cores/wr-cores/modules/wrc_lm32/wrc_lm32.vhd \
../../ip_cores/wr-cores/modules/wr_tbi_phy/dec_8b10b.vhd \
../../ip_cores/wr-cores/modules/wr_tbi_phy/enc_8b10b.vhd \
../../ip_cores/wr-cores/modules/wr_tbi_phy/wr_tbi_phy.vhd \
../../ip_cores/wr-cores/modules/wr_mini_nic/wr_mini_nic.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_enc_8b10b.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_dec_8b10b.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rx_pcs_tbi.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_tx_pcs_tbi.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_autonegotiation.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_pcs_tbi_mdio_wb.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_1000basex_pcs.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rx_crc_size_check.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rx_deframer.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_tx_framer.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_flow_control.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_timestamping_unit.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rmon_counters.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rx_buffer.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_sync_detect.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_wishbone_controller.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_ts_counter.vhd \
../../ip_cores/wr-cores/modules/wrsw_endpoint/wrsw_endpoint.vhd \
../../ip_cores/wr-cores/modules/wrsw_pps_gen/pps_gen_wb.vhd \
../../ip_cores/wr-cores/modules/wrsw_pps_gen/wrsw_pps_gen.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_conmax/wbconmax_pkg.vhd \
../../ip_cores/wr-cores/modules/wrc_core/wrc_dpram.vhd \
../../ip_cores/wr-cores/modules/wrc_core/wrcore_pkg.vhd \
../../ip_cores/wr-cores/modules/wrc_core/wrc_periph.vhd \
../../ip_cores/wr-cores/modules/wrc_core/wb_reset.vhd \
../../rtl/fd_acam_timestamper.vhd \
../../ip_cores/general-cores/modules/common/gc_crc_gen.vhd \
../../ip_cores/general-cores/modules/common/gc_moving_average.vhd \
../../ip_cores/general-cores/modules/common/gc_extend_pulse.vhd \
../../ip_cores/general-cores/modules/common/gc_delay_gen.vhd \
../../ip_cores/general-cores/modules/common/gc_dual_pi_controller.vhd \
../../ip_cores/general-cores/modules/common/gc_serial_dac.vhd \
../../ip_cores/general-cores/modules/common/gc_sync_ffs.vhd \
../../rtl/fd_ring_buffer.vhd \
../../rtl/fine_delay_core.vhd \
../../ip_cores/general-cores/modules/genrams/altera/generic_async_fifo.vhd \
../../ip_cores/general-cores/modules/genrams/altera/generic_dpram.vhd \
../../ip_cores/general-cores/modules/genrams/altera/generic_spram.vhd \
../../ip_cores/general-cores/modules/genrams/altera/generic_sync_fifo.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_onewire_master/wb_onewire_master.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_onewire_master/xwb_onewire_master.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_i2c_master/i2c_master_bit_ctrl.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_i2c_master/i2c_master_byte_ctrl.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_i2c_master/i2c_master_top.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_i2c_master/wb_i2c_master.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_i2c_master/xwb_i2c_master.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_bus_fanout/xwb_bus_fanout.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_pri_dec.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_pri_enc.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_arb.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_msel.vhd \
../../ip_cores/wr-cores/modules/wrc_core/wr_core.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_slave_if.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_master_if.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_rf.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_top.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_gpio_port/wb_gpio_port.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_gpio_port/xwb_gpio_port.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_simple_timer/wb_tics.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_uart/uart_async_rx.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_uart/uart_async_tx.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_uart/uart_baud_gen.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_uart/uart_wb_slave.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_uart/wb_simple_uart.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_vic/vic_prio_enc.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_vic/wb_vic.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_spi/wb_spi.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_spi/xwb_spi.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_virtual_uart/wb_virtual_uart.vhd \
../../ip_cores/general-cores/modules/wishbone/wb_virtual_uart/wb_virtual_uart_slave.vhd \
../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_dpssram.vhd \
../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_eic.vhd \
../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_fifo_async.vhd \
../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_fifo_sync.vhd \
../../rtl/fd_wishbone_slave.vhd \
../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/spartan6/gn4124_core.vhd \
../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/dma_controller.vhd \
../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/spartan6/l2p_ser.vhd \
../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/spartan6/p2l_des.vhd \
../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/spartan6/serdes_1_to_n_clk_pll_s2_diff.vhd \
../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/spartan6/serdes_1_to_n_data_s2_se.vhd \
../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/spartan6/serdes_n_to_1_s2_diff.vhd \
../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/spartan6/serdes_n_to_1_s2_se.vhd \
VHDL_OBJ := work/wbgen2_pkg/.wbgen2_pkg_vhd \
work/gencores_pkg/.gencores_pkg_vhd \
work/genram_pkg/.genram_pkg_vhd \
work/fd_ts_adder/.fd_ts_adder_vhd \
work/fd_ts_normalizer/.fd_ts_normalizer_vhd \
work/fd_wbgen2_pkg/.fd_wbgen2_pkg_vhd \
work/fd_csync_generator/.fd_csync_generator_vhd \
work/fd_timestamper_stat_unit/.fd_timestamper_stat_unit_vhd \
work/fd_acam_timestamp_postprocessor/.fd_acam_timestamp_postprocessor_vhd \
work/fine_delay_pkg/.fine_delay_pkg_vhd \
work/fd_delay_line_arbiter/.fd_delay_line_arbiter_vhd \
work/fd_rearm_generator/.fd_rearm_generator_vhd \
work/fd_reset_generator/.fd_reset_generator_vhd \
work/fd_spi_master/.fd_spi_master_vhd \
work/fd_spi_dac_arbiter/.fd_spi_dac_arbiter_vhd \
work/fd_delay_channel_driver/.fd_delay_channel_driver_vhd \
work/wishbone_pkg/.wishbone_pkg_vhd \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg_vhd \
work/dma_controller_wb_slave/.dma_controller_wb_slave_vhd \
work/gn4124_core_pkg/.gn4124_core_pkg_vhd \
work/l2p_arbiter/.l2p_arbiter_vhd \
work/l2p_dma_master/.l2p_dma_master_vhd \
work/p2l_decode32/.p2l_decode32_vhd \
work/p2l_dma_master/.p2l_dma_master_vhd \
work/wbmaster32/.wbmaster32_vhd \
work/gtp_bitslide/.gtp_bitslide_vhd \
work/gtp_phase_align/.gtp_phase_align_vhd \
work/whiterabbitgtp_wrapper_tile/.whiterabbitgtp_wrapper_tile_vhd \
work/wr_gtp_phy_spartan6/.wr_gtp_phy_spartan6_vhd \
work/dmtd_phase_meas/.dmtd_phase_meas_vhd \
work/dmtd_with_deglitcher/.dmtd_with_deglitcher_vhd \
work/multi_dmtd_with_deglitcher/.multi_dmtd_with_deglitcher_vhd \
work/hpll_period_detect/.hpll_period_detect_vhd \
work/minic_packet_buffer/.minic_packet_buffer_vhd \
work/minic_wb_slave/.minic_wb_slave_vhd \
work/endpoint_pkg/.endpoint_pkg_vhd \
work/softpll_wb/.softpll_wb_vhd \
work/wr_softpll/.wr_softpll_vhd \
work/wrc_lm32/.wrc_lm32_vhd \
work/dec_8b10b/.dec_8b10b_vhd \
work/enc_8b10b/.enc_8b10b_vhd \
work/wr_tbi_phy/.wr_tbi_phy_vhd \
work/wr_mini_nic/.wr_mini_nic_vhd \
work/ep_enc_8b10b/.ep_enc_8b10b_vhd \
work/ep_dec_8b10b/.ep_dec_8b10b_vhd \
work/ep_rx_pcs_tbi/.ep_rx_pcs_tbi_vhd \
work/ep_tx_pcs_tbi/.ep_tx_pcs_tbi_vhd \
work/ep_autonegotiation/.ep_autonegotiation_vhd \
work/ep_pcs_tbi_mdio_wb/.ep_pcs_tbi_mdio_wb_vhd \
work/ep_1000basex_pcs/.ep_1000basex_pcs_vhd \
work/ep_rx_crc_size_check/.ep_rx_crc_size_check_vhd \
work/ep_rx_deframer/.ep_rx_deframer_vhd \
work/ep_tx_framer/.ep_tx_framer_vhd \
work/ep_flow_control/.ep_flow_control_vhd \
work/ep_timestamping_unit/.ep_timestamping_unit_vhd \
work/ep_rmon_counters/.ep_rmon_counters_vhd \
work/ep_rx_buffer/.ep_rx_buffer_vhd \
work/ep_sync_detect/.ep_sync_detect_vhd \
work/ep_wishbone_controller/.ep_wishbone_controller_vhd \
work/ep_ts_counter/.ep_ts_counter_vhd \
work/wrsw_endpoint/.wrsw_endpoint_vhd \
work/pps_gen_wb/.pps_gen_wb_vhd \
work/wrsw_pps_gen/.wrsw_pps_gen_vhd \
work/wbconmax_pkg/.wbconmax_pkg_vhd \
work/wrc_dpram/.wrc_dpram_vhd \
work/wrcore_pkg/.wrcore_pkg_vhd \
work/wrc_periph/.wrc_periph_vhd \
work/wb_reset/.wb_reset_vhd \
work/fd_acam_timestamper/.fd_acam_timestamper_vhd \
work/gc_crc_gen/.gc_crc_gen_vhd \
work/gc_moving_average/.gc_moving_average_vhd \
work/gc_extend_pulse/.gc_extend_pulse_vhd \
work/gc_delay_gen/.gc_delay_gen_vhd \
work/gc_dual_pi_controller/.gc_dual_pi_controller_vhd \
work/gc_serial_dac/.gc_serial_dac_vhd \
work/gc_sync_ffs/.gc_sync_ffs_vhd \
work/fd_ring_buffer/.fd_ring_buffer_vhd \
work/fine_delay_core/.fine_delay_core_vhd \
work/generic_async_fifo/.generic_async_fifo_vhd \
work/generic_dpram/.generic_dpram_vhd \
work/generic_spram/.generic_spram_vhd \
work/generic_sync_fifo/.generic_sync_fifo_vhd \
work/wb_onewire_master/.wb_onewire_master_vhd \
work/xwb_onewire_master/.xwb_onewire_master_vhd \
work/i2c_master_bit_ctrl/.i2c_master_bit_ctrl_vhd \
work/i2c_master_byte_ctrl/.i2c_master_byte_ctrl_vhd \
work/i2c_master_top/.i2c_master_top_vhd \
work/wb_i2c_master/.wb_i2c_master_vhd \
work/xwb_i2c_master/.xwb_i2c_master_vhd \
work/xwb_bus_fanout/.xwb_bus_fanout_vhd \
work/wb_conmax_pri_dec/.wb_conmax_pri_dec_vhd \
work/wb_conmax_pri_enc/.wb_conmax_pri_enc_vhd \
work/wb_conmax_arb/.wb_conmax_arb_vhd \
work/wb_conmax_msel/.wb_conmax_msel_vhd \
work/wr_core/.wr_core_vhd \
work/wb_conmax_slave_if/.wb_conmax_slave_if_vhd \
work/wb_conmax_master_if/.wb_conmax_master_if_vhd \
work/wb_conmax_rf/.wb_conmax_rf_vhd \
work/wb_conmax_top/.wb_conmax_top_vhd \
work/wb_gpio_port/.wb_gpio_port_vhd \
work/xwb_gpio_port/.xwb_gpio_port_vhd \
work/wb_tics/.wb_tics_vhd \
work/uart_async_rx/.uart_async_rx_vhd \
work/uart_async_tx/.uart_async_tx_vhd \
work/uart_baud_gen/.uart_baud_gen_vhd \
work/uart_wb_slave/.uart_wb_slave_vhd \
work/wb_simple_uart/.wb_simple_uart_vhd \
work/vic_prio_enc/.vic_prio_enc_vhd \
work/wb_vic/.wb_vic_vhd \
work/wb_spi/.wb_spi_vhd \
work/xwb_spi/.xwb_spi_vhd \
work/wb_virtual_uart/.wb_virtual_uart_vhd \
work/wb_virtual_uart_slave/.wb_virtual_uart_slave_vhd \
work/wbgen2_dpssram/.wbgen2_dpssram_vhd \
work/wbgen2_eic/.wbgen2_eic_vhd \
work/wbgen2_fifo_async/.wbgen2_fifo_async_vhd \
work/wbgen2_fifo_sync/.wbgen2_fifo_sync_vhd \
work/fd_wishbone_slave/.fd_wishbone_slave_vhd \
work/gn4124_core/.gn4124_core_vhd \
work/dma_controller/.dma_controller_vhd \
work/l2p_ser/.l2p_ser_vhd \
work/p2l_des/.p2l_des_vhd \
work/serdes_1_to_n_clk_pll_s2_diff/.serdes_1_to_n_clk_pll_s2_diff_vhd \
work/serdes_1_to_n_data_s2_se/.serdes_1_to_n_data_s2_se_vhd \
work/serdes_n_to_1_s2_diff/.serdes_n_to_1_s2_diff_vhd \
work/serdes_n_to_1_s2_se/.serdes_n_to_1_s2_se_vhd \
LIBS := work
LIB_IND := work/.work
......@@ -166,556 +311,984 @@ $(VHDL_OBJ): $(LIB_IND) modelsim.ini
modelsim.ini: $(MODELSIM_INI_PATH)/modelsim.ini
cp $< .
clean:
rm -rf ./modelsim.ini $(LIBS) $(WORK_NAME)
rm -rf ./modelsim.ini $(LIBS)
.PHONY: clean
work/.work:
(vlib work && vmap -modelsimini modelsim.ini work && touch work/.work )|| rm -rf work
work/main/.main: main.sv
vlog -work work $(VLOG_FLAGS) +incdir+. $< && mkdir -p work/main && touch work/main/.main
work/spi_clgen/.spi_clgen: ../../ip_cores/general-cores/modules/wishbone/wb_spi/spi_clgen.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/general-cores/modules/wishbone/wb_spi $< && mkdir -p work/spi_clgen && touch work/spi_clgen/.spi_clgen
work/spi_shift/.spi_shift: ../../ip_cores/general-cores/modules/wishbone/wb_spi/spi_shift.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/general-cores/modules/wishbone/wb_spi $< && mkdir -p work/spi_shift && touch work/spi_shift/.spi_shift
work/spi_top/.spi_top: ../../ip_cores/general-cores/modules/wishbone/wb_spi/spi_top.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/general-cores/modules/wishbone/wb_spi $< && mkdir -p work/spi_top && touch work/spi_top/.spi_top
work/lm32_cpu/.lm32_cpu: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_cpu.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $< && mkdir -p work/lm32_cpu && touch work/lm32_cpu/.lm32_cpu
work/lm32_addsub/.lm32_addsub: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_addsub.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $< && mkdir -p work/lm32_addsub && touch work/lm32_addsub/.lm32_addsub
work/lm32_top/.lm32_top: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_top.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $< && mkdir -p work/lm32_top && touch work/lm32_top/.lm32_top
work/lm32_instruction_unit/.lm32_instruction_unit: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_instruction_unit.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $< && mkdir -p work/lm32_instruction_unit && touch work/lm32_instruction_unit/.lm32_instruction_unit
work/lm32_decoder/.lm32_decoder: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_decoder.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $< && mkdir -p work/lm32_decoder && touch work/lm32_decoder/.lm32_decoder
work/lm32_load_store_unit/.lm32_load_store_unit: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_load_store_unit.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $< && mkdir -p work/lm32_load_store_unit && touch work/lm32_load_store_unit/.lm32_load_store_unit
work/lm32_adder/.lm32_adder: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_adder.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $< && mkdir -p work/lm32_adder && touch work/lm32_adder/.lm32_adder
work/lm32_logic_op/.lm32_logic_op: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_logic_op.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $< && mkdir -p work/lm32_logic_op && touch work/lm32_logic_op/.lm32_logic_op
work/lm32_shifter/.lm32_shifter: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_shifter.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $< && mkdir -p work/lm32_shifter && touch work/lm32_shifter/.lm32_shifter
work/lm32_multiplier/.lm32_multiplier: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_multiplier.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $< && mkdir -p work/lm32_multiplier && touch work/lm32_multiplier/.lm32_multiplier
work/lm32_interrupt/.lm32_interrupt: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_interrupt.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $< && mkdir -p work/lm32_interrupt && touch work/lm32_interrupt/.lm32_interrupt
work/lm32_dp_ram/.lm32_dp_ram: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_dp_ram.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $< && mkdir -p work/lm32_dp_ram && touch work/lm32_dp_ram/.lm32_dp_ram
work/wbgen2_pkg/.wbgen2_pkg: ../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_pkg.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_pkg.vhd && mkdir -p work/wbgen2_pkg && touch work/wbgen2_pkg/.wbgen2_pkg
work/fd_cal_pulse_gen/.fd_cal_pulse_gen: ../../rtl/fd_cal_pulse_gen.vhd
vcom $(VCOM_FLAGS) -work work ../../rtl/fd_cal_pulse_gen.vhd && mkdir -p work/fd_cal_pulse_gen && touch work/fd_cal_pulse_gen/.fd_cal_pulse_gen
work/fine_delay_pkg/.fine_delay_pkg: ../../rtl/fine_delay_pkg.vhd
vcom $(VCOM_FLAGS) -work work ../../rtl/fine_delay_pkg.vhd && mkdir -p work/fine_delay_pkg && touch work/fine_delay_pkg/.fine_delay_pkg
work/fd_wbgen2_pkg/.fd_wbgen2_pkg: ../../rtl/fd_wbgen2_pkg.vhd
vcom $(VCOM_FLAGS) -work work ../../rtl/fd_wbgen2_pkg.vhd && mkdir -p work/fd_wbgen2_pkg && touch work/fd_wbgen2_pkg/.fd_wbgen2_pkg
work/main/.main_sv: main.sv ../../include/random_pulse_gen.sv ../../include/jittery_delay.sv ../../include/ideal_timestamper.sv ../../include/acam_model.sv ../../include/mc100ep195.sv ../../include/fine_delay_regs.v ../../include/tunable_clock_gen.sv
vlog -work work $(VLOG_FLAGS) -sv +incdir+. +incdir+../../include +incdir+../../include/wb $<
@mkdir -p $(dir $@) && touch $@
work/fd_wbgen2_pkg/.fd_wbgen2_pkg: \
work/wbgen2_pkg/.wbgen2_pkg
work/fine_delay_core/.fine_delay_core: ../../rtl/fine_delay_core.vhd
vcom $(VCOM_FLAGS) -work work ../../rtl/fine_delay_core.vhd && mkdir -p work/fine_delay_core && touch work/fine_delay_core/.fine_delay_core
work/lm32_cpu/.lm32_cpu_v: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_cpu.v ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_functions.v ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_include.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $<
@mkdir -p $(dir $@) && touch $@
work/fine_delay_core/.fine_delay_core: \
work/fd_wbgen2_pkg/.fd_wbgen2_pkg
work/fd_delay_line_driver/.fd_delay_line_driver: ../../rtl/fd_delay_line_driver.vhd
vcom $(VCOM_FLAGS) -work work ../../rtl/fd_delay_line_driver.vhd && mkdir -p work/fd_delay_line_driver && touch work/fd_delay_line_driver/.fd_delay_line_driver
work/lm32_addsub/.lm32_addsub_v: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_addsub.v ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_include.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $<
@mkdir -p $(dir $@) && touch $@
work/fd_delay_line_driver/.fd_delay_line_driver: \
work/fine_delay_pkg/.fine_delay_pkg
work/fd_acam_timestamper/.fd_acam_timestamper: ../../rtl/fd_acam_timestamper.vhd
vcom $(VCOM_FLAGS) -work work ../../rtl/fd_acam_timestamper.vhd && mkdir -p work/fd_acam_timestamper && touch work/fd_acam_timestamper/.fd_acam_timestamper
work/lm32_top/.lm32_top_v: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_top.v ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_functions.v ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_include.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $<
@mkdir -p $(dir $@) && touch $@
work/fd_acam_timestamper/.fd_acam_timestamper: \
work/fd_wbgen2_pkg/.fd_wbgen2_pkg
work/clock_generator_ddr_s2_diff/.clock_generator_ddr_s2_diff: ../../ip_cores/rtl/clock_generator_ddr_s2_diff.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/clock_generator_ddr_s2_diff.vhd && mkdir -p work/clock_generator_ddr_s2_diff && touch work/clock_generator_ddr_s2_diff/.clock_generator_ddr_s2_diff
work/lm32_instruction_unit/.lm32_instruction_unit_v: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_instruction_unit.v ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_functions.v ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_include.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $<
@mkdir -p $(dir $@) && touch $@
work/clock_generator_pll_s2_diff/.clock_generator_pll_s2_diff: ../../ip_cores/rtl/clock_generator_pll_s2_diff.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/clock_generator_pll_s2_diff.vhd && mkdir -p work/clock_generator_pll_s2_diff && touch work/clock_generator_pll_s2_diff/.clock_generator_pll_s2_diff
work/gn4124_core_private_pkg/.gn4124_core_private_pkg: ../../ip_cores/rtl/spartan6/gn4124_core_private_pkg.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/spartan6/gn4124_core_private_pkg.vhd && mkdir -p work/gn4124_core_private_pkg && touch work/gn4124_core_private_pkg/.gn4124_core_private_pkg
work/lm32_decoder/.lm32_decoder_v: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_decoder.v ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_functions.v ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_include.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $<
@mkdir -p $(dir $@) && touch $@
work/dma_controller_wb_slave/.dma_controller_wb_slave: ../../ip_cores/rtl/dma_controller_wb_slave.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/dma_controller_wb_slave.vhd && mkdir -p work/dma_controller_wb_slave && touch work/dma_controller_wb_slave/.dma_controller_wb_slave
work/gn4124_core_pkg/.gn4124_core_pkg: ../../ip_cores/rtl/gn4124_core_pkg.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/gn4124_core_pkg.vhd && mkdir -p work/gn4124_core_pkg && touch work/gn4124_core_pkg/.gn4124_core_pkg
work/lm32_load_store_unit/.lm32_load_store_unit_v: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_load_store_unit.v ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_functions.v ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_include.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $<
@mkdir -p $(dir $@) && touch $@
work/l2p_arbiter/.l2p_arbiter: ../../ip_cores/rtl/l2p_arbiter.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/l2p_arbiter.vhd && mkdir -p work/l2p_arbiter && touch work/l2p_arbiter/.l2p_arbiter
work/l2p_arbiter/.l2p_arbiter: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg
work/lm32_adder/.lm32_adder_v: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_adder.v ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_include.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $<
@mkdir -p $(dir $@) && touch $@
work/genram_pkg/.genram_pkg: ../../ip_cores/general-cores/modules/genrams/genram_pkg.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/genrams/genram_pkg.vhd && mkdir -p work/genram_pkg && touch work/genram_pkg/.genram_pkg
work/p2l_decode32/.p2l_decode32: ../../ip_cores/rtl/p2l_decode32.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/p2l_decode32.vhd && mkdir -p work/p2l_decode32 && touch work/p2l_decode32/.p2l_decode32
work/lm32_logic_op/.lm32_logic_op_v: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_logic_op.v ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_include.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $<
@mkdir -p $(dir $@) && touch $@
work/p2l_decode32/.p2l_decode32: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg
work/p2l_dma_master/.p2l_dma_master: ../../ip_cores/rtl/p2l_dma_master.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/p2l_dma_master.vhd && mkdir -p work/p2l_dma_master && touch work/p2l_dma_master/.p2l_dma_master
work/lm32_shifter/.lm32_shifter_v: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_shifter.v ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_include.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $<
@mkdir -p $(dir $@) && touch $@
work/p2l_dma_master/.p2l_dma_master: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg \
work/genram_pkg/.genram_pkg
work/serdes_1_to_n_clk_ddr_s2_diff/.serdes_1_to_n_clk_ddr_s2_diff: ../../ip_cores/rtl/serdes_1_to_n_clk_ddr_s2_diff.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/serdes_1_to_n_clk_ddr_s2_diff.vhd && mkdir -p work/serdes_1_to_n_clk_ddr_s2_diff && touch work/serdes_1_to_n_clk_ddr_s2_diff/.serdes_1_to_n_clk_ddr_s2_diff
work/lm32_multiplier/.lm32_multiplier_v: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_multiplier.v ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_include.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $<
@mkdir -p $(dir $@) && touch $@
work/serdes_1_to_n_clk_pll_s2_diff/.serdes_1_to_n_clk_pll_s2_diff: ../../ip_cores/rtl/serdes_1_to_n_clk_pll_s2_diff.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/serdes_1_to_n_clk_pll_s2_diff.vhd && mkdir -p work/serdes_1_to_n_clk_pll_s2_diff && touch work/serdes_1_to_n_clk_pll_s2_diff/.serdes_1_to_n_clk_pll_s2_diff
work/serdes_1_to_n_data_ddr_s2_se/.serdes_1_to_n_data_ddr_s2_se: ../../ip_cores/rtl/serdes_1_to_n_data_ddr_s2_se.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/serdes_1_to_n_data_ddr_s2_se.vhd && mkdir -p work/serdes_1_to_n_data_ddr_s2_se && touch work/serdes_1_to_n_data_ddr_s2_se/.serdes_1_to_n_data_ddr_s2_se
work/lm32_interrupt/.lm32_interrupt_v: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_interrupt.v ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_include.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $<
@mkdir -p $(dir $@) && touch $@
work/serdes_1_to_n_data_s2_se/.serdes_1_to_n_data_s2_se: ../../ip_cores/rtl/serdes_1_to_n_data_s2_se.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/serdes_1_to_n_data_s2_se.vhd && mkdir -p work/serdes_1_to_n_data_s2_se && touch work/serdes_1_to_n_data_s2_se/.serdes_1_to_n_data_s2_se
work/serdes_n_to_1_ddr_s2_diff/.serdes_n_to_1_ddr_s2_diff: ../../ip_cores/rtl/serdes_n_to_1_ddr_s2_diff.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/serdes_n_to_1_ddr_s2_diff.vhd && mkdir -p work/serdes_n_to_1_ddr_s2_diff && touch work/serdes_n_to_1_ddr_s2_diff/.serdes_n_to_1_ddr_s2_diff
work/lm32_dp_ram/.lm32_dp_ram_v: ../../ip_cores/wr-cores/modules/wrc_lm32/lm32_dp_ram.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/wr-cores/modules/wrc_lm32 $<
@mkdir -p $(dir $@) && touch $@
work/serdes_n_to_1_ddr_s2_se/.serdes_n_to_1_ddr_s2_se: ../../ip_cores/rtl/serdes_n_to_1_ddr_s2_se.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/serdes_n_to_1_ddr_s2_se.vhd && mkdir -p work/serdes_n_to_1_ddr_s2_se && touch work/serdes_n_to_1_ddr_s2_se/.serdes_n_to_1_ddr_s2_se
work/serdes_n_to_1_s2_diff/.serdes_n_to_1_s2_diff: ../../ip_cores/rtl/serdes_n_to_1_s2_diff.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/serdes_n_to_1_s2_diff.vhd && mkdir -p work/serdes_n_to_1_s2_diff && touch work/serdes_n_to_1_s2_diff/.serdes_n_to_1_s2_diff
work/sockit_owm/.sockit_owm_v: ../../ip_cores/general-cores/modules/wishbone/wb_onewire_master/sockit_owm.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/general-cores/modules/wishbone/wb_onewire_master $<
@mkdir -p $(dir $@) && touch $@
work/serdes_n_to_1_s2_se/.serdes_n_to_1_s2_se: ../../ip_cores/rtl/serdes_n_to_1_s2_se.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/serdes_n_to_1_s2_se.vhd && mkdir -p work/serdes_n_to_1_s2_se && touch work/serdes_n_to_1_s2_se/.serdes_n_to_1_s2_se
work/wbmaster32/.wbmaster32: ../../ip_cores/rtl/wbmaster32.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/wbmaster32.vhd && mkdir -p work/wbmaster32 && touch work/wbmaster32/.wbmaster32
work/spi_clgen/.spi_clgen_v: ../../ip_cores/general-cores/modules/wishbone/wb_spi/spi_clgen.v ../../ip_cores/general-cores/modules/wishbone/wb_spi/timescale.v ../../ip_cores/general-cores/modules/wishbone/wb_spi/spi_defines.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/general-cores/modules/wishbone/wb_spi $<
@mkdir -p $(dir $@) && touch $@
work/wbmaster32/.wbmaster32: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg \
work/genram_pkg/.genram_pkg
work/gn4124_core/.gn4124_core: ../../ip_cores/rtl/spartan6/gn4124_core.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/spartan6/gn4124_core.vhd && mkdir -p work/gn4124_core && touch work/gn4124_core/.gn4124_core
work/spi_shift/.spi_shift_v: ../../ip_cores/general-cores/modules/wishbone/wb_spi/spi_shift.v ../../ip_cores/general-cores/modules/wishbone/wb_spi/timescale.v ../../ip_cores/general-cores/modules/wishbone/wb_spi/spi_defines.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/general-cores/modules/wishbone/wb_spi $<
@mkdir -p $(dir $@) && touch $@
work/gn4124_core/.gn4124_core: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg
work/dma_controller/.dma_controller: ../../ip_cores/rtl/dma_controller.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/dma_controller.vhd && mkdir -p work/dma_controller && touch work/dma_controller/.dma_controller
work/spi_top/.spi_top_v: ../../ip_cores/general-cores/modules/wishbone/wb_spi/spi_top.v ../../ip_cores/general-cores/modules/wishbone/wb_spi/timescale.v ../../ip_cores/general-cores/modules/wishbone/wb_spi/spi_defines.v
vlog -work work $(VLOG_FLAGS) +incdir+../../ip_cores/general-cores/modules/wishbone/wb_spi $<
@mkdir -p $(dir $@) && touch $@
work/dma_controller/.dma_controller: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg
work/l2p_ser/.l2p_ser: ../../ip_cores/rtl/spartan6/l2p_ser.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/spartan6/l2p_ser.vhd && mkdir -p work/l2p_ser && touch work/l2p_ser/.l2p_ser
work/l2p_ser/.l2p_ser: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg
work/wbgen2_pkg/.wbgen2_pkg_vhd: ../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_pkg.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/p2l_des/.p2l_des: ../../ip_cores/rtl/spartan6/p2l_des.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/spartan6/p2l_des.vhd && mkdir -p work/p2l_des && touch work/p2l_des/.p2l_des
work/p2l_des/.p2l_des: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg
work/gencores_pkg/.gencores_pkg_vhd: ../../ip_cores/general-cores/modules/common/gencores_pkg.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/gencores_pkg/.gencores_pkg: ../../ip_cores/general-cores/modules/common/gencores_pkg.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/common/gencores_pkg.vhd && mkdir -p work/gencores_pkg && touch work/gencores_pkg/.gencores_pkg
work/gc_crc_gen/.gc_crc_gen: ../../ip_cores/general-cores/modules/common/gc_crc_gen.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/common/gc_crc_gen.vhd && mkdir -p work/gc_crc_gen && touch work/gc_crc_gen/.gc_crc_gen
work/genram_pkg/.genram_pkg_vhd: ../../ip_cores/general-cores/modules/genrams/genram_pkg.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/gc_crc_gen/.gc_crc_gen: \
work/gencores_pkg/.gencores_pkg
work/gc_moving_average/.gc_moving_average: ../../ip_cores/general-cores/modules/common/gc_moving_average.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/common/gc_moving_average.vhd && mkdir -p work/gc_moving_average && touch work/gc_moving_average/.gc_moving_average
work/gc_moving_average/.gc_moving_average: \
work/gencores_pkg/.gencores_pkg
work/fd_ts_adder/.fd_ts_adder_vhd: ../../rtl/fd_ts_adder.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/gc_extend_pulse/.gc_extend_pulse: ../../ip_cores/general-cores/modules/common/gc_extend_pulse.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/common/gc_extend_pulse.vhd && mkdir -p work/gc_extend_pulse && touch work/gc_extend_pulse/.gc_extend_pulse
work/gc_extend_pulse/.gc_extend_pulse: \
work/gencores_pkg/.gencores_pkg
work/fd_ts_normalizer/.fd_ts_normalizer_vhd: ../../rtl/fd_ts_normalizer.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/gc_delay_gen/.gc_delay_gen: ../../ip_cores/general-cores/modules/common/gc_delay_gen.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/common/gc_delay_gen.vhd && mkdir -p work/gc_delay_gen && touch work/gc_delay_gen/.gc_delay_gen
work/gc_delay_gen/.gc_delay_gen: \
work/gencores_pkg/.gencores_pkg
work/fd_wbgen2_pkg/.fd_wbgen2_pkg_vhd: ../../rtl/fd_wbgen2_pkg.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/gc_dual_pi_controller/.gc_dual_pi_controller: ../../ip_cores/general-cores/modules/common/gc_dual_pi_controller.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/common/gc_dual_pi_controller.vhd && mkdir -p work/gc_dual_pi_controller && touch work/gc_dual_pi_controller/.gc_dual_pi_controller
work/gc_dual_pi_controller/.gc_dual_pi_controller: \
work/gencores_pkg/.gencores_pkg
work/fd_wbgen2_pkg/.fd_wbgen2_pkg: \
work/wbgen2_pkg/.wbgen2_pkg
work/gc_serial_dac/.gc_serial_dac: ../../ip_cores/general-cores/modules/common/gc_serial_dac.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/common/gc_serial_dac.vhd && mkdir -p work/gc_serial_dac && touch work/gc_serial_dac/.gc_serial_dac
work/fd_csync_generator/.fd_csync_generator_vhd: ../../rtl/fd_csync_generator.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/gc_sync_ffs/.gc_sync_ffs: ../../ip_cores/general-cores/modules/common/gc_sync_ffs.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/common/gc_sync_ffs.vhd && mkdir -p work/gc_sync_ffs && touch work/gc_sync_ffs/.gc_sync_ffs
work/l2p_dma_master/.l2p_dma_master: ../../ip_cores/rtl/l2p_dma_master.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/rtl/l2p_dma_master.vhd && mkdir -p work/l2p_dma_master && touch work/l2p_dma_master/.l2p_dma_master
work/fd_csync_generator/.fd_csync_generator: \
work/fd_wbgen2_pkg/.fd_wbgen2_pkg
work/l2p_dma_master/.l2p_dma_master: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg \
work/genram_pkg/.genram_pkg
work/fd_timestamper_stat_unit/.fd_timestamper_stat_unit_vhd: ../../rtl/fd_timestamper_stat_unit.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wishbone_pkg/.wishbone_pkg: ../../ip_cores/general-cores/modules/wishbone/wishbone_pkg.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wishbone_pkg.vhd && mkdir -p work/wishbone_pkg && touch work/wishbone_pkg/.wishbone_pkg
work/wb_cpu_bridge/.wb_cpu_bridge: ../../ip_cores/general-cores/modules/wishbone/wb_async_bridge/wb_cpu_bridge.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_async_bridge/wb_cpu_bridge.vhd && mkdir -p work/wb_cpu_bridge && touch work/wb_cpu_bridge/.wb_cpu_bridge
work/fd_timestamper_stat_unit/.fd_timestamper_stat_unit: \
work/fd_wbgen2_pkg/.fd_wbgen2_pkg
work/wb_cpu_bridge/.wb_cpu_bridge: \
work/gencores_pkg/.gencores_pkg \
work/wishbone_pkg/.wishbone_pkg
work/fd_acam_timestamp_postprocessor/.fd_acam_timestamp_postprocessor_vhd: ../../rtl/fd_acam_timestamp_postprocessor.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_conmax_pri_dec/.wb_conmax_pri_dec: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_pri_dec.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_pri_dec.vhd && mkdir -p work/wb_conmax_pri_dec && touch work/wb_conmax_pri_dec/.wb_conmax_pri_dec
work/wb_conmax_pri_enc/.wb_conmax_pri_enc: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_pri_enc.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_pri_enc.vhd && mkdir -p work/wb_conmax_pri_enc && touch work/wb_conmax_pri_enc/.wb_conmax_pri_enc
work/fd_acam_timestamp_postprocessor/.fd_acam_timestamp_postprocessor: \
work/fd_wbgen2_pkg/.fd_wbgen2_pkg
work/wb_conmax_arb/.wb_conmax_arb: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_arb.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_arb.vhd && mkdir -p work/wb_conmax_arb && touch work/wb_conmax_arb/.wb_conmax_arb
work/fine_delay_pkg/.fine_delay_pkg_vhd: ../../rtl/fine_delay_pkg.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_conmax_msel/.wb_conmax_msel: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_msel.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_msel.vhd && mkdir -p work/wb_conmax_msel && touch work/wb_conmax_msel/.wb_conmax_msel
work/wbconmax_pkg/.wbconmax_pkg: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wbconmax_pkg.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wbconmax_pkg.vhd && mkdir -p work/wbconmax_pkg && touch work/wbconmax_pkg/.wbconmax_pkg
work/fd_delay_line_arbiter/.fd_delay_line_arbiter_vhd: ../../rtl/fd_delay_line_arbiter.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_conmax_slave_if/.wb_conmax_slave_if: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_slave_if.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_slave_if.vhd && mkdir -p work/wb_conmax_slave_if && touch work/wb_conmax_slave_if/.wb_conmax_slave_if
work/wb_conmax_slave_if/.wb_conmax_slave_if: \
work/wbconmax_pkg/.wbconmax_pkg
work/fd_rearm_generator/.fd_rearm_generator_vhd: ../../rtl/fd_rearm_generator.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_conmax_master_if/.wb_conmax_master_if: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_master_if.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_master_if.vhd && mkdir -p work/wb_conmax_master_if && touch work/wb_conmax_master_if/.wb_conmax_master_if
work/wb_conmax_master_if/.wb_conmax_master_if: \
work/wbconmax_pkg/.wbconmax_pkg
work/fd_reset_generator/.fd_reset_generator_vhd: ../../rtl/fd_reset_generator.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_conmax_rf/.wb_conmax_rf: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_rf.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_rf.vhd && mkdir -p work/wb_conmax_rf && touch work/wb_conmax_rf/.wb_conmax_rf
work/wb_conmax_rf/.wb_conmax_rf: \
work/wbconmax_pkg/.wbconmax_pkg
work/fd_reset_generator/.fd_reset_generator: \
work/fd_wbgen2_pkg/.fd_wbgen2_pkg
work/wb_conmax_top/.wb_conmax_top: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_top.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_top.vhd && mkdir -p work/wb_conmax_top && touch work/wb_conmax_top/.wb_conmax_top
work/fd_spi_master/.fd_spi_master_vhd: ../../rtl/fd_spi_master.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_conmax_top/.wb_conmax_top: \
work/wbconmax_pkg/.wbconmax_pkg
work/wb_gpio_port/.wb_gpio_port: ../../ip_cores/general-cores/modules/wishbone/wb_gpio_port/wb_gpio_port.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_gpio_port/wb_gpio_port.vhd && mkdir -p work/wb_gpio_port && touch work/wb_gpio_port/.wb_gpio_port
work/fd_spi_dac_arbiter/.fd_spi_dac_arbiter_vhd: ../../rtl/fd_spi_dac_arbiter.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_gpio_port/.wb_gpio_port: \
work/wishbone_pkg/.wishbone_pkg \
work/gencores_pkg/.gencores_pkg
work/wb_tics/.wb_tics: ../../ip_cores/general-cores/modules/wishbone/wb_simple_timer/wb_tics.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_simple_timer/wb_tics.vhd && mkdir -p work/wb_tics && touch work/wb_tics/.wb_tics
work/fd_spi_dac_arbiter/.fd_spi_dac_arbiter: \
work/fd_wbgen2_pkg/.fd_wbgen2_pkg
work/uart_async_rx/.uart_async_rx: ../../ip_cores/general-cores/modules/wishbone/wb_uart/uart_async_rx.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_uart/uart_async_rx.vhd && mkdir -p work/uart_async_rx && touch work/uart_async_rx/.uart_async_rx
work/fd_delay_channel_driver/.fd_delay_channel_driver_vhd: ../../rtl/fd_delay_channel_driver.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/uart_async_tx/.uart_async_tx: ../../ip_cores/general-cores/modules/wishbone/wb_uart/uart_async_tx.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_uart/uart_async_tx.vhd && mkdir -p work/uart_async_tx && touch work/uart_async_tx/.uart_async_tx
work/uart_baud_gen/.uart_baud_gen: ../../ip_cores/general-cores/modules/wishbone/wb_uart/uart_baud_gen.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_uart/uart_baud_gen.vhd && mkdir -p work/uart_baud_gen && touch work/uart_baud_gen/.uart_baud_gen
work/fd_delay_channel_driver/.fd_delay_channel_driver: \
work/fine_delay_pkg/.fine_delay_pkg
work/uart_wb_slave/.uart_wb_slave: ../../ip_cores/general-cores/modules/wishbone/wb_uart/uart_wb_slave.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_uart/uart_wb_slave.vhd && mkdir -p work/uart_wb_slave && touch work/uart_wb_slave/.uart_wb_slave
work/wishbone_pkg/.wishbone_pkg_vhd: ../../ip_cores/general-cores/modules/wishbone/wishbone_pkg.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_simple_uart/.wb_simple_uart: ../../ip_cores/general-cores/modules/wishbone/wb_uart/wb_simple_uart.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_uart/wb_simple_uart.vhd && mkdir -p work/wb_simple_uart && touch work/wb_simple_uart/.wb_simple_uart
work/vic_prio_enc/.vic_prio_enc: ../../ip_cores/general-cores/modules/wishbone/wb_vic/vic_prio_enc.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_vic/vic_prio_enc.vhd && mkdir -p work/vic_prio_enc && touch work/vic_prio_enc/.vic_prio_enc
work/gn4124_core_private_pkg/.gn4124_core_private_pkg_vhd: ../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/spartan6/gn4124_core_private_pkg.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_vic/.wb_vic: ../../ip_cores/general-cores/modules/wishbone/wb_vic/wb_vic.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_vic/wb_vic.vhd && mkdir -p work/wb_vic && touch work/wb_vic/.wb_vic
work/wb_vic/.wb_vic: \
work/wishbone_pkg/.wishbone_pkg
work/dma_controller_wb_slave/.dma_controller_wb_slave_vhd: ../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/dma_controller_wb_slave.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_virtual_uart/.wb_virtual_uart: ../../ip_cores/general-cores/modules/wishbone/wb_virtual_uart/wb_virtual_uart.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_virtual_uart/wb_virtual_uart.vhd && mkdir -p work/wb_virtual_uart && touch work/wb_virtual_uart/.wb_virtual_uart
work/wb_virtual_uart_slave/.wb_virtual_uart_slave: ../../ip_cores/general-cores/modules/wishbone/wb_virtual_uart/wb_virtual_uart_slave.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wb_virtual_uart/wb_virtual_uart_slave.vhd && mkdir -p work/wb_virtual_uart_slave && touch work/wb_virtual_uart_slave/.wb_virtual_uart_slave
work/gn4124_core_pkg/.gn4124_core_pkg_vhd: ../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/gn4124_core_pkg.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_virtual_uart_slave/.wb_virtual_uart_slave: \
work/wbgen2_pkg/.wbgen2_pkg
work/wbgen2_dpssram/.wbgen2_dpssram: ../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_dpssram.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_dpssram.vhd && mkdir -p work/wbgen2_dpssram && touch work/wbgen2_dpssram/.wbgen2_dpssram
work/l2p_arbiter/.l2p_arbiter_vhd: ../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/l2p_arbiter.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wbgen2_dpssram/.wbgen2_dpssram: \
work/wbgen2_pkg/.wbgen2_pkg
work/wbgen2_eic/.wbgen2_eic: ../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_eic.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_eic.vhd && mkdir -p work/wbgen2_eic && touch work/wbgen2_eic/.wbgen2_eic
work/l2p_arbiter/.l2p_arbiter: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg
work/wbgen2_eic/.wbgen2_eic: \
work/wbgen2_pkg/.wbgen2_pkg
work/l2p_dma_master/.l2p_dma_master_vhd: ../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/l2p_dma_master.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wbgen2_fifo_async/.wbgen2_fifo_async: ../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_fifo_async.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_fifo_async.vhd && mkdir -p work/wbgen2_fifo_async && touch work/wbgen2_fifo_async/.wbgen2_fifo_async
work/wbgen2_fifo_async/.wbgen2_fifo_async: \
work/genram_pkg/.genram_pkg \
work/wbgen2_pkg/.wbgen2_pkg
work/l2p_dma_master/.l2p_dma_master: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg \
work/genram_pkg/.genram_pkg
work/wbgen2_fifo_sync/.wbgen2_fifo_sync: ../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_fifo_sync.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_fifo_sync.vhd && mkdir -p work/wbgen2_fifo_sync && touch work/wbgen2_fifo_sync/.wbgen2_fifo_sync
work/p2l_decode32/.p2l_decode32_vhd: ../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/p2l_decode32.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wbgen2_fifo_sync/.wbgen2_fifo_sync: \
work/wbgen2_pkg/.wbgen2_pkg
work/fine_delay_wb/.fine_delay_wb: ../../rtl/fine_delay_wb.vhd
vcom $(VCOM_FLAGS) -work work ../../rtl/fine_delay_wb.vhd && mkdir -p work/fine_delay_wb && touch work/fine_delay_wb/.fine_delay_wb
work/p2l_decode32/.p2l_decode32: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg
work/fine_delay_wb/.fine_delay_wb: \
work/wbgen2_pkg/.wbgen2_pkg \
work/fd_wbgen2_pkg/.fd_wbgen2_pkg
work/p2l_dma_master/.p2l_dma_master_vhd: ../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/p2l_dma_master.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/generic_async_fifo/.generic_async_fifo: ../../ip_cores/general-cores/modules/genrams/altera/generic_async_fifo.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/genrams/altera/generic_async_fifo.vhd && mkdir -p work/generic_async_fifo && touch work/generic_async_fifo/.generic_async_fifo
work/generic_async_fifo/.generic_async_fifo: \
work/p2l_dma_master/.p2l_dma_master: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg \
work/genram_pkg/.genram_pkg
work/generic_dpram/.generic_dpram: ../../ip_cores/general-cores/modules/genrams/altera/generic_dpram.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/genrams/altera/generic_dpram.vhd && mkdir -p work/generic_dpram && touch work/generic_dpram/.generic_dpram
work/generic_dpram/.generic_dpram: \
work/genram_pkg/.genram_pkg
work/wbmaster32/.wbmaster32_vhd: ../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/wbmaster32.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/generic_spram/.generic_spram: ../../ip_cores/general-cores/modules/genrams/altera/generic_spram.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/genrams/altera/generic_spram.vhd && mkdir -p work/generic_spram && touch work/generic_spram/.generic_spram
work/generic_spram/.generic_spram: \
work/wbmaster32/.wbmaster32: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg \
work/genram_pkg/.genram_pkg
work/generic_sync_fifo/.generic_sync_fifo: ../../ip_cores/general-cores/modules/genrams/altera/generic_sync_fifo.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/general-cores/modules/genrams/altera/generic_sync_fifo.vhd && mkdir -p work/generic_sync_fifo && touch work/generic_sync_fifo/.generic_sync_fifo
work/gtp_bitslide/.gtp_bitslide_vhd: ../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/gtp_bitslide.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/generic_sync_fifo/.generic_sync_fifo: \
work/genram_pkg/.genram_pkg
work/gtp_bitslide/.gtp_bitslide: ../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/gtp_bitslide.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/gtp_bitslide.vhd && mkdir -p work/gtp_bitslide && touch work/gtp_bitslide/.gtp_bitslide
work/gtp_phase_align/.gtp_phase_align_vhd: ../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/gtp_phase_align.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/gtp_phase_align/.gtp_phase_align: ../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/gtp_phase_align.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/gtp_phase_align.vhd && mkdir -p work/gtp_phase_align && touch work/gtp_phase_align/.gtp_phase_align
work/whiterabbitgtp_wrapper_tile/.whiterabbitgtp_wrapper_tile_vhd: ../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/whiterabbitgtp_wrapper_tile.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/whiterabbitgtp_wrapper/.whiterabbitgtp_wrapper: ../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/whiterabbitgtp_wrapper.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/whiterabbitgtp_wrapper.vhd && mkdir -p work/whiterabbitgtp_wrapper && touch work/whiterabbitgtp_wrapper/.whiterabbitgtp_wrapper
work/whiterabbitgtp_wrapper_tile/.whiterabbitgtp_wrapper_tile: ../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/whiterabbitgtp_wrapper_tile.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/whiterabbitgtp_wrapper_tile.vhd && mkdir -p work/whiterabbitgtp_wrapper_tile && touch work/whiterabbitgtp_wrapper_tile/.whiterabbitgtp_wrapper_tile
work/wr_gtp_phy_spartan6/.wr_gtp_phy_spartan6_vhd: ../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/wr_gtp_phy_spartan6.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wr_gtp_phy_spartan6/.wr_gtp_phy_spartan6: ../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/wr_gtp_phy_spartan6.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/platform/xilinx/wr_gtp_phy/wr_gtp_phy_spartan6.vhd && mkdir -p work/wr_gtp_phy_spartan6 && touch work/wr_gtp_phy_spartan6/.wr_gtp_phy_spartan6
work/wr_gtp_phy_spartan6/.wr_gtp_phy_spartan6: \
work/gencores_pkg/.gencores_pkg
work/dmtd_phase_meas/.dmtd_phase_meas: ../../ip_cores/wr-cores/modules/timing/dmtd_phase_meas.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/timing/dmtd_phase_meas.vhd && mkdir -p work/dmtd_phase_meas && touch work/dmtd_phase_meas/.dmtd_phase_meas
work/dmtd_phase_meas/.dmtd_phase_meas_vhd: ../../ip_cores/wr-cores/modules/timing/dmtd_phase_meas.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/dmtd_phase_meas/.dmtd_phase_meas: \
work/gencores_pkg/.gencores_pkg
work/dmtd_with_deglitcher/.dmtd_with_deglitcher: ../../ip_cores/wr-cores/modules/timing/dmtd_with_deglitcher.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/timing/dmtd_with_deglitcher.vhd && mkdir -p work/dmtd_with_deglitcher && touch work/dmtd_with_deglitcher/.dmtd_with_deglitcher
work/dmtd_with_deglitcher/.dmtd_with_deglitcher_vhd: ../../ip_cores/wr-cores/modules/timing/dmtd_with_deglitcher.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/dmtd_with_deglitcher/.dmtd_with_deglitcher: \
work/gencores_pkg/.gencores_pkg
work/multi_dmtd_with_deglitcher/.multi_dmtd_with_deglitcher: ../../ip_cores/wr-cores/modules/timing/multi_dmtd_with_deglitcher.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/timing/multi_dmtd_with_deglitcher.vhd && mkdir -p work/multi_dmtd_with_deglitcher && touch work/multi_dmtd_with_deglitcher/.multi_dmtd_with_deglitcher
work/multi_dmtd_with_deglitcher/.multi_dmtd_with_deglitcher_vhd: ../../ip_cores/wr-cores/modules/timing/multi_dmtd_with_deglitcher.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/multi_dmtd_with_deglitcher/.multi_dmtd_with_deglitcher: \
work/gencores_pkg/.gencores_pkg
work/hpll_period_detect/.hpll_period_detect: ../../ip_cores/wr-cores/modules/timing/hpll_period_detect.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/timing/hpll_period_detect.vhd && mkdir -p work/hpll_period_detect && touch work/hpll_period_detect/.hpll_period_detect
work/hpll_period_detect/.hpll_period_detect_vhd: ../../ip_cores/wr-cores/modules/timing/hpll_period_detect.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/hpll_period_detect/.hpll_period_detect: \
work/gencores_pkg/.gencores_pkg
work/minic_packet_buffer/.minic_packet_buffer: ../../ip_cores/wr-cores/modules/wr_mini_nic/minic_packet_buffer.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wr_mini_nic/minic_packet_buffer.vhd && mkdir -p work/minic_packet_buffer && touch work/minic_packet_buffer/.minic_packet_buffer
work/minic_packet_buffer/.minic_packet_buffer_vhd: ../../ip_cores/wr-cores/modules/wr_mini_nic/minic_packet_buffer.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/minic_packet_buffer/.minic_packet_buffer: \
work/genram_pkg/.genram_pkg
work/minic_wb_slave/.minic_wb_slave: ../../ip_cores/wr-cores/modules/wr_mini_nic/minic_wb_slave.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wr_mini_nic/minic_wb_slave.vhd && mkdir -p work/minic_wb_slave && touch work/minic_wb_slave/.minic_wb_slave
work/minic_wb_slave/.minic_wb_slave_vhd: ../../ip_cores/wr-cores/modules/wr_mini_nic/minic_wb_slave.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/minic_wb_slave/.minic_wb_slave: \
work/wbgen2_pkg/.wbgen2_pkg
work/endpoint_pkg/.endpoint_pkg: ../../ip_cores/wr-cores/modules/wrsw_endpoint/endpoint_pkg.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/endpoint_pkg.vhd && mkdir -p work/endpoint_pkg && touch work/endpoint_pkg/.endpoint_pkg
work/endpoint_pkg/.endpoint_pkg_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/endpoint_pkg.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/softpll_wb/.softpll_wb_vhd: ../../ip_cores/wr-cores/modules/wr_softpll/softpll_wb.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/softpll_wb/.softpll_wb: ../../ip_cores/wr-cores/modules/wr_softpll/softpll_wb.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wr_softpll/softpll_wb.vhd && mkdir -p work/softpll_wb && touch work/softpll_wb/.softpll_wb
work/softpll_wb/.softpll_wb: \
work/wbgen2_pkg/.wbgen2_pkg
work/wr_softpll/.wr_softpll: ../../ip_cores/wr-cores/modules/wr_softpll/wr_softpll.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wr_softpll/wr_softpll.vhd && mkdir -p work/wr_softpll && touch work/wr_softpll/.wr_softpll
work/wr_softpll/.wr_softpll_vhd: ../../ip_cores/wr-cores/modules/wr_softpll/wr_softpll.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wr_softpll/.wr_softpll: \
work/gencores_pkg/.gencores_pkg
work/wrc_lm32/.wrc_lm32: ../../ip_cores/wr-cores/modules/wrc_lm32/wrc_lm32.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrc_lm32/wrc_lm32.vhd && mkdir -p work/wrc_lm32 && touch work/wrc_lm32/.wrc_lm32
work/wrc_lm32/.wrc_lm32_vhd: ../../ip_cores/wr-cores/modules/wrc_lm32/wrc_lm32.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/dec_8b10b/.dec_8b10b_vhd: ../../ip_cores/wr-cores/modules/wr_tbi_phy/dec_8b10b.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/enc_8b10b/.enc_8b10b_vhd: ../../ip_cores/wr-cores/modules/wr_tbi_phy/enc_8b10b.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wr_tbi_phy/.wr_tbi_phy_vhd: ../../ip_cores/wr-cores/modules/wr_tbi_phy/wr_tbi_phy.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wr_tbi_phy/.wr_tbi_phy: \
work/gencores_pkg/.gencores_pkg
work/wr_mini_nic/.wr_mini_nic_vhd: ../../ip_cores/wr-cores/modules/wr_mini_nic/wr_mini_nic.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wr_mini_nic/.wr_mini_nic: ../../ip_cores/wr-cores/modules/wr_mini_nic/wr_mini_nic.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wr_mini_nic/wr_mini_nic.vhd && mkdir -p work/wr_mini_nic && touch work/wr_mini_nic/.wr_mini_nic
work/wr_mini_nic/.wr_mini_nic: \
work/endpoint_pkg/.endpoint_pkg
work/ep_enc_8b10b/.ep_enc_8b10b: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_enc_8b10b.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_enc_8b10b.vhd && mkdir -p work/ep_enc_8b10b && touch work/ep_enc_8b10b/.ep_enc_8b10b
work/ep_enc_8b10b/.ep_enc_8b10b_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_enc_8b10b.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_dec_8b10b/.ep_dec_8b10b_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_dec_8b10b.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_dec_8b10b/.ep_dec_8b10b: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_dec_8b10b.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_dec_8b10b.vhd && mkdir -p work/ep_dec_8b10b && touch work/ep_dec_8b10b/.ep_dec_8b10b
work/ep_rx_pcs_tbi/.ep_rx_pcs_tbi: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rx_pcs_tbi.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rx_pcs_tbi.vhd && mkdir -p work/ep_rx_pcs_tbi && touch work/ep_rx_pcs_tbi/.ep_rx_pcs_tbi
work/ep_rx_pcs_tbi/.ep_rx_pcs_tbi_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rx_pcs_tbi.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_rx_pcs_tbi/.ep_rx_pcs_tbi: \
work/gencores_pkg/.gencores_pkg \
work/endpoint_pkg/.endpoint_pkg \
work/genram_pkg/.genram_pkg \
work/endpoint_pkg/.endpoint_pkg
work/gencores_pkg/.gencores_pkg
work/ep_tx_pcs_tbi/.ep_tx_pcs_tbi_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_tx_pcs_tbi.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_tx_pcs_tbi/.ep_tx_pcs_tbi: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_tx_pcs_tbi.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_tx_pcs_tbi.vhd && mkdir -p work/ep_tx_pcs_tbi && touch work/ep_tx_pcs_tbi/.ep_tx_pcs_tbi
work/ep_tx_pcs_tbi/.ep_tx_pcs_tbi: \
work/gencores_pkg/.gencores_pkg \
work/endpoint_pkg/.endpoint_pkg \
work/genram_pkg/.genram_pkg \
work/endpoint_pkg/.endpoint_pkg
work/gencores_pkg/.gencores_pkg
work/ep_autonegotiation/.ep_autonegotiation_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_autonegotiation.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_autonegotiation/.ep_autonegotiation: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_autonegotiation.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_autonegotiation.vhd && mkdir -p work/ep_autonegotiation && touch work/ep_autonegotiation/.ep_autonegotiation
work/ep_autonegotiation/.ep_autonegotiation: \
work/endpoint_pkg/.endpoint_pkg
work/ep_pcs_tbi_mdio_wb/.ep_pcs_tbi_mdio_wb: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_pcs_tbi_mdio_wb.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_pcs_tbi_mdio_wb.vhd && mkdir -p work/ep_pcs_tbi_mdio_wb && touch work/ep_pcs_tbi_mdio_wb/.ep_pcs_tbi_mdio_wb
work/ep_pcs_tbi_mdio_wb/.ep_pcs_tbi_mdio_wb_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_pcs_tbi_mdio_wb.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_1000basex_pcs/.ep_1000basex_pcs_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_1000basex_pcs.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_1000basex_pcs/.ep_1000basex_pcs: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_1000basex_pcs.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_1000basex_pcs.vhd && mkdir -p work/ep_1000basex_pcs && touch work/ep_1000basex_pcs/.ep_1000basex_pcs
work/ep_1000basex_pcs/.ep_1000basex_pcs: \
work/endpoint_pkg/.endpoint_pkg
work/ep_rx_crc_size_check/.ep_rx_crc_size_check: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rx_crc_size_check.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rx_crc_size_check.vhd && mkdir -p work/ep_rx_crc_size_check && touch work/ep_rx_crc_size_check/.ep_rx_crc_size_check
work/ep_rx_crc_size_check/.ep_rx_crc_size_check_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rx_crc_size_check.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_rx_crc_size_check/.ep_rx_crc_size_check: \
work/gencores_pkg/.gencores_pkg \
work/endpoint_pkg/.endpoint_pkg
work/endpoint_pkg/.endpoint_pkg \
work/gencores_pkg/.gencores_pkg
work/ep_rx_deframer/.ep_rx_deframer_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rx_deframer.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_rx_deframer/.ep_rx_deframer: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rx_deframer.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rx_deframer.vhd && mkdir -p work/ep_rx_deframer && touch work/ep_rx_deframer/.ep_rx_deframer
work/ep_rx_deframer/.ep_rx_deframer: \
work/endpoint_pkg/.endpoint_pkg
work/ep_tx_framer/.ep_tx_framer: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_tx_framer.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_tx_framer.vhd && mkdir -p work/ep_tx_framer && touch work/ep_tx_framer/.ep_tx_framer
work/ep_tx_framer/.ep_tx_framer_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_tx_framer.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_tx_framer/.ep_tx_framer: \
work/gencores_pkg/.gencores_pkg \
work/endpoint_pkg/.endpoint_pkg
work/endpoint_pkg/.endpoint_pkg \
work/gencores_pkg/.gencores_pkg
work/ep_flow_control/.ep_flow_control_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_flow_control.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_flow_control/.ep_flow_control: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_flow_control.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_flow_control.vhd && mkdir -p work/ep_flow_control && touch work/ep_flow_control/.ep_flow_control
work/ep_flow_control/.ep_flow_control: \
work/endpoint_pkg/.endpoint_pkg
work/ep_timestamping_unit/.ep_timestamping_unit: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_timestamping_unit.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_timestamping_unit.vhd && mkdir -p work/ep_timestamping_unit && touch work/ep_timestamping_unit/.ep_timestamping_unit
work/ep_timestamping_unit/.ep_timestamping_unit_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_timestamping_unit.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_timestamping_unit/.ep_timestamping_unit: \
work/gencores_pkg/.gencores_pkg \
work/endpoint_pkg/.endpoint_pkg
work/endpoint_pkg/.endpoint_pkg \
work/gencores_pkg/.gencores_pkg
work/ep_rmon_counters/.ep_rmon_counters_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rmon_counters.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_rmon_counters/.ep_rmon_counters: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rmon_counters.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rmon_counters.vhd && mkdir -p work/ep_rmon_counters && touch work/ep_rmon_counters/.ep_rmon_counters
work/ep_rmon_counters/.ep_rmon_counters: \
work/endpoint_pkg/.endpoint_pkg
work/ep_rx_buffer/.ep_rx_buffer: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rx_buffer.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rx_buffer.vhd && mkdir -p work/ep_rx_buffer && touch work/ep_rx_buffer/.ep_rx_buffer
work/ep_rx_buffer/.ep_rx_buffer_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_rx_buffer.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_rx_buffer/.ep_rx_buffer: \
work/endpoint_pkg/.endpoint_pkg \
work/genram_pkg/.genram_pkg
work/ep_sync_detect/.ep_sync_detect: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_sync_detect.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_sync_detect.vhd && mkdir -p work/ep_sync_detect && touch work/ep_sync_detect/.ep_sync_detect
work/ep_sync_detect/.ep_sync_detect_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_sync_detect.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_sync_detect/.ep_sync_detect: \
work/endpoint_pkg/.endpoint_pkg
work/ep_wishbone_controller/.ep_wishbone_controller: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_wishbone_controller.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_wishbone_controller.vhd && mkdir -p work/ep_wishbone_controller && touch work/ep_wishbone_controller/.ep_wishbone_controller
work/ep_wishbone_controller/.ep_wishbone_controller_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_wishbone_controller.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_wishbone_controller/.ep_wishbone_controller: \
work/wbgen2_pkg/.wbgen2_pkg
work/ep_ts_counter/.ep_ts_counter: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_ts_counter.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_ts_counter.vhd && mkdir -p work/ep_ts_counter && touch work/ep_ts_counter/.ep_ts_counter
work/ep_ts_counter/.ep_ts_counter_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/ep_ts_counter.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/ep_ts_counter/.ep_ts_counter: \
work/endpoint_pkg/.endpoint_pkg
work/wrsw_endpoint/.wrsw_endpoint: ../../ip_cores/wr-cores/modules/wrsw_endpoint/wrsw_endpoint.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_endpoint/wrsw_endpoint.vhd && mkdir -p work/wrsw_endpoint && touch work/wrsw_endpoint/.wrsw_endpoint
work/wrsw_endpoint/.wrsw_endpoint_vhd: ../../ip_cores/wr-cores/modules/wrsw_endpoint/wrsw_endpoint.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wrsw_endpoint/.wrsw_endpoint: \
work/gencores_pkg/.gencores_pkg \
work/endpoint_pkg/.endpoint_pkg
work/endpoint_pkg/.endpoint_pkg \
work/gencores_pkg/.gencores_pkg
work/pps_gen_wb/.pps_gen_wb_vhd: ../../ip_cores/wr-cores/modules/wrsw_pps_gen/pps_gen_wb.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/pps_gen_wb/.pps_gen_wb: ../../ip_cores/wr-cores/modules/wrsw_pps_gen/pps_gen_wb.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_pps_gen/pps_gen_wb.vhd && mkdir -p work/pps_gen_wb && touch work/pps_gen_wb/.pps_gen_wb
work/wrsw_pps_gen/.wrsw_pps_gen: ../../ip_cores/wr-cores/modules/wrsw_pps_gen/wrsw_pps_gen.vhd
vcom $(VCOM_FLAGS) -work work ../../ip_cores/wr-cores/modules/wrsw_pps_gen/wrsw_pps_gen.vhd && mkdir -p work/wrsw_pps_gen && touch work/wrsw_pps_gen/.wrsw_pps_gen
work/wrsw_pps_gen/.wrsw_pps_gen_vhd: ../../ip_cores/wr-cores/modules/wrsw_pps_gen/wrsw_pps_gen.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wrsw_pps_gen/.wrsw_pps_gen: \
work/gencores_pkg/.gencores_pkg
work/wbconmax_pkg/.wbconmax_pkg_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wbconmax_pkg.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wrc_dpram/.wrc_dpram_vhd: ../../ip_cores/wr-cores/modules/wrc_core/wrc_dpram.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wrc_dpram/.wrc_dpram: \
work/genram_pkg/.genram_pkg
work/wrcore_pkg/.wrcore_pkg_vhd: ../../ip_cores/wr-cores/modules/wrc_core/wrcore_pkg.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wrcore_pkg/.wrcore_pkg: \
work/genram_pkg/.genram_pkg \
work/wbconmax_pkg/.wbconmax_pkg
work/wrc_periph/.wrc_periph_vhd: ../../ip_cores/wr-cores/modules/wrc_core/wrc_periph.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wrc_periph/.wrc_periph: \
work/wrcore_pkg/.wrcore_pkg
work/wb_reset/.wb_reset_vhd: ../../ip_cores/wr-cores/modules/wrc_core/wb_reset.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/fd_acam_timestamper/.fd_acam_timestamper_vhd: ../../rtl/fd_acam_timestamper.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/fd_acam_timestamper/.fd_acam_timestamper: \
work/fd_wbgen2_pkg/.fd_wbgen2_pkg \
work/gencores_pkg/.gencores_pkg
work/gc_crc_gen/.gc_crc_gen_vhd: ../../ip_cores/general-cores/modules/common/gc_crc_gen.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/gc_crc_gen/.gc_crc_gen: \
work/gencores_pkg/.gencores_pkg
work/gc_moving_average/.gc_moving_average_vhd: ../../ip_cores/general-cores/modules/common/gc_moving_average.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/gc_moving_average/.gc_moving_average: \
work/gencores_pkg/.gencores_pkg
work/gc_extend_pulse/.gc_extend_pulse_vhd: ../../ip_cores/general-cores/modules/common/gc_extend_pulse.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/gc_extend_pulse/.gc_extend_pulse: \
work/gencores_pkg/.gencores_pkg
work/gc_delay_gen/.gc_delay_gen_vhd: ../../ip_cores/general-cores/modules/common/gc_delay_gen.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/gc_delay_gen/.gc_delay_gen: \
work/gencores_pkg/.gencores_pkg
work/gc_dual_pi_controller/.gc_dual_pi_controller_vhd: ../../ip_cores/general-cores/modules/common/gc_dual_pi_controller.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/gc_dual_pi_controller/.gc_dual_pi_controller: \
work/gencores_pkg/.gencores_pkg
work/gc_serial_dac/.gc_serial_dac_vhd: ../../ip_cores/general-cores/modules/common/gc_serial_dac.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/gc_sync_ffs/.gc_sync_ffs_vhd: ../../ip_cores/general-cores/modules/common/gc_sync_ffs.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/fd_ring_buffer/.fd_ring_buffer_vhd: ../../rtl/fd_ring_buffer.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/fd_ring_buffer/.fd_ring_buffer: \
work/fd_wbgen2_pkg/.fd_wbgen2_pkg \
work/genram_pkg/.genram_pkg
work/fine_delay_core/.fine_delay_core_vhd: ../../rtl/fine_delay_core.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/fine_delay_core/.fine_delay_core: \
work/fd_wbgen2_pkg/.fd_wbgen2_pkg \
work/wishbone_pkg/.wishbone_pkg \
work/gencores_pkg/.gencores_pkg
work/generic_async_fifo/.generic_async_fifo_vhd: ../../ip_cores/general-cores/modules/genrams/altera/generic_async_fifo.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/generic_async_fifo/.generic_async_fifo: \
work/genram_pkg/.genram_pkg
work/generic_dpram/.generic_dpram_vhd: ../../ip_cores/general-cores/modules/genrams/altera/generic_dpram.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/generic_dpram/.generic_dpram: \
work/genram_pkg/.genram_pkg
work/generic_spram/.generic_spram_vhd: ../../ip_cores/general-cores/modules/genrams/altera/generic_spram.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/generic_spram/.generic_spram: \
work/genram_pkg/.genram_pkg
work/generic_sync_fifo/.generic_sync_fifo_vhd: ../../ip_cores/general-cores/modules/genrams/altera/generic_sync_fifo.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/generic_sync_fifo/.generic_sync_fifo: \
work/genram_pkg/.genram_pkg
work/wb_onewire_master/.wb_onewire_master_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_onewire_master/wb_onewire_master.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_onewire_master/.wb_onewire_master: \
work/gencores_pkg/.gencores_pkg
work/xwb_onewire_master/.xwb_onewire_master_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_onewire_master/xwb_onewire_master.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/xwb_onewire_master/.xwb_onewire_master: \
work/wishbone_pkg/.wishbone_pkg
work/i2c_master_bit_ctrl/.i2c_master_bit_ctrl_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_i2c_master/i2c_master_bit_ctrl.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/i2c_master_byte_ctrl/.i2c_master_byte_ctrl_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_i2c_master/i2c_master_byte_ctrl.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/i2c_master_top/.i2c_master_top_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_i2c_master/i2c_master_top.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_i2c_master/.wb_i2c_master_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_i2c_master/wb_i2c_master.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_i2c_master/.wb_i2c_master: \
work/wishbone_pkg/.wishbone_pkg
work/xwb_i2c_master/.xwb_i2c_master_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_i2c_master/xwb_i2c_master.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/xwb_i2c_master/.xwb_i2c_master: \
work/wishbone_pkg/.wishbone_pkg
work/xwb_bus_fanout/.xwb_bus_fanout_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_bus_fanout/xwb_bus_fanout.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/xwb_bus_fanout/.xwb_bus_fanout: \
work/wishbone_pkg/.wishbone_pkg
work/wb_conmax_pri_dec/.wb_conmax_pri_dec_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_pri_dec.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_conmax_pri_enc/.wb_conmax_pri_enc_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_pri_enc.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_conmax_arb/.wb_conmax_arb_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_arb.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_conmax_msel/.wb_conmax_msel_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_msel.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wr_core/.wr_core_vhd: ../../ip_cores/wr-cores/modules/wrc_core/wr_core.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wr_core/.wr_core: \
work/genram_pkg/.genram_pkg \
work/wbconmax_pkg/.wbconmax_pkg \
work/wrcore_pkg/.wrcore_pkg
work/wb_conmax_slave_if/.wb_conmax_slave_if_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_slave_if.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_conmax_slave_if/.wb_conmax_slave_if: \
work/wbconmax_pkg/.wbconmax_pkg
work/wb_conmax_master_if/.wb_conmax_master_if_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_master_if.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_conmax_master_if/.wb_conmax_master_if: \
work/wbconmax_pkg/.wbconmax_pkg
work/wb_conmax_rf/.wb_conmax_rf_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_rf.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_conmax_rf/.wb_conmax_rf: \
work/wbconmax_pkg/.wbconmax_pkg
work/wb_conmax_top/.wb_conmax_top_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_conmax/wb_conmax_top.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_conmax_top/.wb_conmax_top: \
work/wbconmax_pkg/.wbconmax_pkg
work/wb_gpio_port/.wb_gpio_port_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_gpio_port/wb_gpio_port.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_gpio_port/.wb_gpio_port: \
work/wishbone_pkg/.wishbone_pkg \
work/gencores_pkg/.gencores_pkg
work/xwb_gpio_port/.xwb_gpio_port_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_gpio_port/xwb_gpio_port.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/xwb_gpio_port/.xwb_gpio_port: \
work/wishbone_pkg/.wishbone_pkg
work/wb_tics/.wb_tics_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_simple_timer/wb_tics.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/uart_async_rx/.uart_async_rx_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_uart/uart_async_rx.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/uart_async_tx/.uart_async_tx_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_uart/uart_async_tx.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/uart_baud_gen/.uart_baud_gen_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_uart/uart_baud_gen.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/uart_wb_slave/.uart_wb_slave_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_uart/uart_wb_slave.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_simple_uart/.wb_simple_uart_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_uart/wb_simple_uart.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/vic_prio_enc/.vic_prio_enc_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_vic/vic_prio_enc.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_vic/.wb_vic_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_vic/wb_vic.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_vic/.wb_vic: \
work/wishbone_pkg/.wishbone_pkg
work/wb_spi/.wb_spi_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_spi/wb_spi.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_spi/.wb_spi: \
work/wishbone_pkg/.wishbone_pkg
work/xwb_spi/.xwb_spi_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_spi/xwb_spi.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/xwb_spi/.xwb_spi: \
work/wishbone_pkg/.wishbone_pkg
work/wb_virtual_uart/.wb_virtual_uart_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_virtual_uart/wb_virtual_uart.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_virtual_uart_slave/.wb_virtual_uart_slave_vhd: ../../ip_cores/general-cores/modules/wishbone/wb_virtual_uart/wb_virtual_uart_slave.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wb_virtual_uart_slave/.wb_virtual_uart_slave: \
work/wbgen2_pkg/.wbgen2_pkg
work/wbgen2_dpssram/.wbgen2_dpssram_vhd: ../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_dpssram.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wbgen2_dpssram/.wbgen2_dpssram: \
work/wbgen2_pkg/.wbgen2_pkg
work/wbgen2_eic/.wbgen2_eic_vhd: ../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_eic.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wbgen2_eic/.wbgen2_eic: \
work/wbgen2_pkg/.wbgen2_pkg
work/wbgen2_fifo_async/.wbgen2_fifo_async_vhd: ../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_fifo_async.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wbgen2_fifo_async/.wbgen2_fifo_async: \
work/genram_pkg/.genram_pkg \
work/wbgen2_pkg/.wbgen2_pkg
work/wbgen2_fifo_sync/.wbgen2_fifo_sync_vhd: ../../ip_cores/general-cores/modules/wishbone/wbgen2/wbgen2_fifo_sync.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/wbgen2_fifo_sync/.wbgen2_fifo_sync: \
work/wbgen2_pkg/.wbgen2_pkg
work/fd_wishbone_slave/.fd_wishbone_slave_vhd: ../../rtl/fd_wishbone_slave.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/fd_wishbone_slave/.fd_wishbone_slave: \
work/fd_wbgen2_pkg/.fd_wbgen2_pkg \
work/wbgen2_pkg/.wbgen2_pkg
work/gn4124_core/.gn4124_core_vhd: ../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/spartan6/gn4124_core.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/gn4124_core/.gn4124_core: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg
work/dma_controller/.dma_controller_vhd: ../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/dma_controller.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/dma_controller/.dma_controller: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg
work/l2p_ser/.l2p_ser_vhd: ../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/spartan6/l2p_ser.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/l2p_ser/.l2p_ser: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg
work/p2l_des/.p2l_des_vhd: ../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/spartan6/p2l_des.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/p2l_des/.p2l_des: \
work/gn4124_core_private_pkg/.gn4124_core_private_pkg
work/serdes_1_to_n_clk_pll_s2_diff/.serdes_1_to_n_clk_pll_s2_diff_vhd: ../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/spartan6/serdes_1_to_n_clk_pll_s2_diff.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/serdes_1_to_n_data_s2_se/.serdes_1_to_n_data_s2_se_vhd: ../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/spartan6/serdes_1_to_n_data_s2_se.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/serdes_n_to_1_s2_diff/.serdes_n_to_1_s2_diff_vhd: ../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/spartan6/serdes_n_to_1_s2_diff.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
work/serdes_n_to_1_s2_se/.serdes_n_to_1_s2_se_vhd: ../../ip_cores/gn4124-core/branches/hdlmake-compliant/rtl/spartan6/serdes_n_to_1_s2_se.vhd
vcom $(VCOM_FLAGS) -work work $<
@mkdir -p $(dir $@) && touch $@
......@@ -217,7 +217,9 @@ class CSimDrv_FineDelay;
task force_cal_pulse(int channel, int delay_setpoint);
m_acc.write(`ADDR_FD_FRR1 + (channel * 'h20), delay_setpoint);
m_acc.write(`ADDR_FD_DCR1 + (channel * 'h20), `FD_DCR1_FORCE_CP | `FD_DCR1_POL);
m_acc.write(`ADDR_FD_DCR1 + (channel * 'h20), `FD_DCR1_FORCE_DLY | `FD_DCR1_POL);
m_acc.write(`ADDR_FD_TDCSR, `FD_TDCSR_CAL_PULSE);
endtask // force_cal_pulse
endclass // CSimDrv_FineDelay
......@@ -540,12 +542,12 @@ module main;
fd_drv = new(wb);
fd_drv.init();
fd_drv.config_output(0,1, 1000000, 200000);
// fd_drv.config_output(0,1, 1000000, 200000);
// fd_drv.config_output(1,1, 1100500, 200000);
// fd_drv.config_output(2,1, 1100900, 200000);
// fd_drv.config_output(3,1, 1110100, 200000);
// fd_drv.force_cal_pulse(0, 100);
fd_drv.force_cal_pulse(0, 100);
// #(320ns);
// fd_drv.force_cal_pulse(0, 200);
......
......@@ -4,11 +4,6 @@ add wave -noupdate /main/DUT/clk_ref_i
add wave -noupdate /main/DUT/clk_sys_i
add wave -noupdate /main/DUT/rst_n_i
add wave -noupdate /main/DUT/trig_a_n_i
add wave -noupdate /main/DUT/delay_pulse_o(0)
add wave -noupdate /main/DUT/chx_rearm
add wave -noupdate -expand /main/DUT/U_Acam_TSU/trig_d
add wave -noupdate /main/DUT/U_Acam_TSU/trig_pulse
add wave -noupdate /main/DUT/U_Acam_TSU/tag_enable
add wave -noupdate /main/DUT/trig_cal_o
add wave -noupdate /main/DUT/tdc_start_i
add wave -noupdate /main/DUT/led_trig_o
......@@ -33,7 +28,7 @@ add wave -noupdate /main/DUT/spi_mosi_o
add wave -noupdate /main/DUT/spi_miso_i
add wave -noupdate /main/DUT/delay_len_o
add wave -noupdate /main/DUT/delay_val_o
add wave -noupdate -expand /main/DUT/delay_pulse_o
add wave -noupdate /main/DUT/delay_pulse_o
add wave -noupdate /main/DUT/wr_time_valid_i
add wave -noupdate /main/DUT/wr_coarse_i
add wave -noupdate /main/DUT/wr_utc_i
......@@ -66,6 +61,7 @@ add wave -noupdate /main/DUT/tdc_rearm_p1
add wave -noupdate /main/DUT/tdc_start_p1
add wave -noupdate /main/DUT/dcr_enable_vec
add wave -noupdate /main/DUT/dcr_mode_vec
add wave -noupdate /main/DUT/chx_rearm
add wave -noupdate /main/DUT/chx_delay_pulse
add wave -noupdate /main/DUT/chx_delay_value
add wave -noupdate /main/DUT/chx_delay_load
......@@ -83,8 +79,10 @@ add wave -noupdate /main/DUT/regs_towb
add wave -noupdate /main/DUT/spi_cs_vec
add wave -noupdate /main/DUT/owr_en_int
add wave -noupdate /main/DUT/owr_int
add wave -noupdate /main/DUT/dbg
add wave -noupdate /main/DUT/gen_cal_pulse
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {8140001510 fs} 0}
WaveRestoreCursors {{Cursor 1} {3716002840 fs} 0}
configure wave -namecolwidth 413
configure wave -valuecolwidth 100
configure wave -justifyvalue left
......@@ -99,4 +97,4 @@ configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {0 fs} {16800 ns}
WaveRestoreZoom {3191002840 fs} {4241002840 fs}
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment