Commit 05a2f5e8 authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

doc: User guide now at version 2.0

parent c2374f2e
\subsection{Converter board registers}
\label{app:conv-regs}
Base address: 0x000
{
\rowcolors{2}{white}{gray!25}
\begin{longtable}{l l l p{.5\textwidth}}
\hline
\textbf{Offset} & \textbf{Reset} & \textbf{Name}
& \textbf{Description} \\
\hline
\endfirsthead
\hline
\textbf{Offset} & \textbf{Reset} & \textbf{Name}
& \textbf{Description} \\
\hline
\endhead
\hline
\endfoot
0x0 & 0x54343538 & BIDR & Board ID Register\\
0x4 & (1) & SR & Status Register\\
0x8 & 0x00000000 & CR & Control Register\\
0xc & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x10 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x14 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x18 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x1c & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x20 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x24 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x28 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x2c & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x30 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x34 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x38 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x3c & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x40 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x44 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x48 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x4c & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x50 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x54 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x58 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x5c & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x60 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x64 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x68 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x6c & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x70 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x74 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x78 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x7c & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x80 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x84 & 0x00000000 & \textit{Reserved} & Write as 0. Read undefined.\\
0x88 & (2) & LSR & Line Status Register\\
0x8c & 0x00000000 & MSWR & Multicast Switch Register\\
\end{longtable}
}
\noindent Note (1): The reset value of the SR cannot be specified, since it is based on the
gateware version, the state of the on-board switches and whether an RTM is plugged in or not.
\noindent Note (2): The reset value of the LSR cannot be specified, since it depends on whether a cable
is plugged into the channel or not.
\vspace{11pt}
\subsubsection{BIDR -- Board ID Register}
\label{app:conv-regs-bidr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BIDR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BIDR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BIDR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BIDR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
BIDR
} [\emph{read-only}]: ID register bits
\\
Reset value: 0x54343538
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{SR -- Status Register}
\label{app:conv-regs-sr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}I2C\_ERR}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & \multicolumn{1}{|c|}{\cellcolor{gray!25}I2C\_WDTO} & \multicolumn{6}{|c|}{\cellcolor{gray!25}RTM[5:0]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}SWITCHES[7:0]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}GWVERS[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
GWVERS
} [\emph{read-only}]: Gateware version
\\
Leftmost nibble hex value is major release decimal value \\ Rightmost nibble hex value is minor release decimal value \\ e.g. \\ 0x11 -- v1.1 \\ 0x2e -- v2.14
\end{small}
\item \begin{small}
{\bf
SWITCHES
} [\emph{read-only}]: Status of on-board general-purpose switches
\\
1 -- switch is ON \\ 0 -- switch is OFF
\end{small}
\item \begin{small}
{\bf
RTM
} [\emph{read-only}]: RTM detection lines~\cite{rtm-det}
\\
1 -- line active \\ 0 -- line inactive
\end{small}
\item \begin{small}
{\bf
I2C\_WDTO
} [\emph{read/write}]: I2C communication watchdog timeout error
\\
1 -- timeout occured \\ 0 -- no timeout \\ This bit can be cleared by writing a '1' to it
\end{small}
\item \begin{small}
{\bf
I2C\_ERR
} [\emph{read/write}]: I2C communication error
\\
1 -- attempted to address non-existing address \\ 0 -- idle \\ This bit can be cleared by writing a '1' to it
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{CR -- Control Register}
\label{app:conv-regs-cr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - \\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}RST} & \multicolumn{1}{|c|}{\cellcolor{gray!25}RST\_UNLOCK}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
RST\_UNLOCK
} [\emph{read/write}]: Reset unlock bit
\\
1 -- Reset bit unlocked \\ 0 -- Reset bit locked
\end{small}
\item \begin{small}
{\bf
RST
} [\emph{read/write}]: Reset bit
\\
1 -- initiate logic reset \\ 0 -- no reset
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\pagebreak
\subsubsection{LSR -- Line Status Register}
\label{app:conv-regs-lsr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & \multicolumn{6}{|c|}{\cellcolor{gray!25}REARFS[5:0]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{6}{|c|}{\cellcolor{gray!25}REAR[5:0]} & \multicolumn{2}{|c|}{\cellcolor{gray!25}FRONTINV[3:2]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{2}{|c|}{\cellcolor{gray!25}FRONTINV[1:0]} & \multicolumn{6}{|c|}{\cellcolor{gray!25}FRONT[5:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
FRONT
} [\emph{read-only}]: Front panel channel input state
\\
Line state at board input\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc.
\end{small}
\item \begin{small}
{\bf
FRONTINV
} [\emph{read-only}]: Front panel INV-TTL input state
\\
Line state at board input\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc.
\end{small}
\item \begin{small}
{\bf
REAR
} [\emph{read-only}]: Rear panel input state
\\
Line state at board input\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc.
\end{small}
\item \begin{small}
{\bf
REARFS
} [\emph{read-only}]: Input failsafe state
\\
High if line is in failsafe mode (no cable plugged in)\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc.
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\pagebreak
\subsubsection{MSWR -- Multicast Switch Register}
\label{app:conv-regs-mwsr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & \multicolumn{4}{|c|}{\cellcolor{gray!25}SWITCHES[3:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
SWITCHES
} [\emph{read-only}]: Multicast address (from switch)
\\
1 -- switch is ON \\ 0 -- switch is OFF
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="648.84711"
height="135.48093"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="cr-mpt.svg">
<defs
id="defs4" />
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.4"
inkscape:cx="347.01801"
inkscape:cy="-134.63655"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1">
<inkscape:grid
type="xygrid"
id="grid2985"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="1mm"
spacingy="1mm"
originx="-4.0220487mm"
originy="-234.85889mm" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-14.251353,-84.704086)">
<rect
style="fill:#cccccc;fill-opacity:1;stroke:none"
id="rect3822"
width="24.80315"
height="35.433071"
x="637.79529"
y="95.669266" />
<rect
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect2989"
width="53.149605"
height="35.433071"
x="584.64569"
y="95.669266" />
<g
id="g3791">
<rect
y="95.669266"
x="70.866142"
height="35.433071"
width="88.58268"
id="rect3783"
style="fill:#cccccc;fill-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3779"
d="m 159.44882,95.669269 -88.582678,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
inkscape:connector-curvature="0"
id="path3781"
d="m 159.44882,131.10234 -88.582678,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<rect
style="fill:none;stroke:#000000;stroke-opacity:1"
id="rect3798"
width="425.19684"
height="35.433071"
x="159.44882"
y="95.669266" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="343.76111"
y="120.67584"
id="text3800"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3802"
x="343.76111"
y="120.67584"
style="font-size:20px;font-weight:bold">PASS</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3804"
y="120.67584"
x="588.63263"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:20px;font-weight:bold"
y="120.67584"
x="588.63263"
id="tspan3806"
sodipodi:role="line">RST</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 637.79528,95.669269 24.80315,0"
id="path3818"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 637.79528,131.10234 24.80315,0"
id="path3820"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="609.44879"
y="92.125961"
id="text3824"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3826"
x="609.44879"
y="92.125961"
style="font-size:10px">1</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3828"
y="92.125961"
x="556.29919"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="92.125961"
x="556.29919"
id="tspan3830"
sodipodi:role="line">2</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="184.25197"
y="92.125961"
id="text3832"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3834"
x="184.25197"
y="92.125961"
style="font-size:10px">9</tspan></text>
<rect
y="184.25194"
x="637.79529"
height="35.433071"
width="24.80315"
id="rect3836"
style="fill:#cccccc;fill-opacity:1;stroke:none" />
<rect
y="184.25194"
x="584.64569"
height="35.433071"
width="53.149605"
id="rect3838"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<g
id="g3840"
transform="translate(0,88.582675)">
<rect
style="fill:#cccccc;fill-opacity:1"
id="rect3842"
width="88.58268"
height="35.433071"
x="70.866142"
y="95.669266" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 159.44882,95.669269 -88.582678,0"
id="path3844"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 159.44882,131.10234 -88.582678,0"
id="path3846"
inkscape:connector-curvature="0" />
</g>
<rect
y="184.25194"
x="159.44882"
height="35.433071"
width="425.19684"
id="rect3848"
style="fill:none;stroke:#000000;stroke-opacity:1" />
<text
sodipodi:linespacing="125%"
id="text3850"
y="209.0551"
x="340.64099"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:20px;font-weight:bold"
y="209.0551"
x="340.64099"
id="tspan3852"
sodipodi:role="line">CHAN</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="588.63263"
y="209.0551"
id="text3854"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3856"
x="588.63263"
y="209.0551"
style="font-size:20px;font-weight:bold">RST</tspan></text>
<path
inkscape:connector-curvature="0"
id="path3858"
d="m 637.79528,184.25195 24.80315,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
inkscape:connector-curvature="0"
id="path3860"
d="m 637.79528,219.68502 24.80315,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<text
sodipodi:linespacing="125%"
id="text3862"
y="180.70863"
x="609.44879"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="180.70863"
x="609.44879"
id="tspan3864"
sodipodi:role="line">1</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="556.29919"
y="180.70863"
id="text3866"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3868"
x="556.29919"
y="180.70863"
style="font-size:10px">2</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3874"
y="180.70863"
x="184.25197"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="180.70863"
x="184.25197"
id="tspan3876"
sodipodi:role="line">9</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="14.173228"
y="103.64459"
id="text3880"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3882"
x="14.173228"
y="103.64459"
style="font-size:10px">Before</tspan><tspan
sodipodi:role="line"
x="14.173228"
y="116.14459"
id="tspan3884"
style="font-size:10px">magic</tspan><tspan
sodipodi:role="line"
x="14.173228"
y="128.64459"
id="tspan3886"
style="font-size:10px">sequence</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3888"
y="192.22726"
x="14.173228"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="192.22726"
x="14.173228"
id="tspan3890"
sodipodi:role="line">After</tspan><tspan
style="font-size:10px"
id="tspan3892"
y="204.72726"
x="14.173228"
sodipodi:role="line">magic</tspan><tspan
style="font-size:10px"
id="tspan3894"
y="217.22726"
x="14.173228"
sodipodi:role="line">sequence</tspan></text>
</g>
</svg>
......@@ -54,8 +54,8 @@
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8"
inkscape:cx="123.49192"
inkscape:cy="120.21815"
inkscape:cx="328.08763"
inkscape:cy="37.615349"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
......@@ -684,19 +684,19 @@
id="tspan5422">out[9]</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:none"
d="m 300,182.36218 0,10 c -0.15362,2.13871 -0.007,2 2,2 l 23,0"
d="m 300,182.36218 0,10 c -0.15362,2.13871 -0.007,2 2,2 l 45,0"
id="path5424"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#TriangleOutM)"
d="m 375,194.36218 17,0 c 2.13871,0.15362 2,0.007 2,-2 l 0,-6"
d="m 350,194.36218 42,0 c 2.13871,0.15362 2,0.007 2,-2 l 0,-6"
id="path5621"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<g
style="fill:none"
transform="matrix(1,0,0,-1,207.69291,255.685)"
transform="matrix(1,0,0,-1,182.69291,255.685)"
id="g5623">
<path
sodipodi:nodetypes="cc"
......@@ -707,7 +707,7 @@
</g>
<g
id="g5627"
transform="matrix(1,0,0,-1,157.69291,255.685)"
transform="matrix(1,0,0,-1,179.69291,255.685)"
style="fill:none">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
......
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="566.22797"
height="117.76439"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="gwvers.svg">
<defs
id="defs4" />
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.979899"
inkscape:cx="293.59444"
inkscape:cy="-38.397593"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
showguides="true"
inkscape:guide-bbox="true">
<inkscape:grid
type="xygrid"
id="grid2985"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="1mm"
spacingy="1mm"
originx="-15.338998mm"
originy="-239.85889mm" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-54.350777,-84.704086)">
<rect
y="95.669273"
x="88.58268"
height="35.433071"
width="106.29924"
id="rect3838"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<rect
y="95.669273"
x="194.8819"
height="35.433071"
width="425.19684"
id="rect3848"
style="fill:none;stroke:#000000;stroke-opacity:1" />
<text
sodipodi:linespacing="125%"
id="text3850"
y="119.21003"
x="376.0741"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:20px;font-weight:bold"
y="119.21003"
x="376.0741"
id="tspan3852"
sodipodi:role="line">GWVERS</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3862"
y="92.125961"
x="166.53539"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="92.125961"
x="166.53539"
id="tspan3864"
sodipodi:role="line">8</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="591.7323"
y="92.125961"
id="text3866"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3868"
x="591.7323"
y="92.125961"
style="font-size:10px">0</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3874"
y="92.125961"
x="219.68504"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="92.125961"
x="219.68504"
id="tspan3876"
sodipodi:role="line">7</tspan></text>
<rect
style="fill:none;stroke:#000000;stroke-opacity:1"
id="rect3029"
width="212.59839"
height="35.433064"
x="407.48032"
y="166.53542" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="496.13107"
y="180.70863"
id="text3031"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3033"
x="496.13107"
y="180.70863"
style="font-size:16px;font-weight:bold">MIN</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3043"
y="194.88187"
x="432.28348"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px;font-weight:normal"
y="194.88187"
x="432.28348"
sodipodi:role="line"
id="tspan3047">minor version number, e.g., small bug fixes</tspan></text>
<rect
y="166.53542"
x="194.8819"
height="35.433064"
width="212.59842"
id="rect3053"
style="fill:none;stroke:#000000;stroke-opacity:1" />
<text
sodipodi:linespacing="125%"
id="text3055"
y="180.70863"
x="284.05219"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:16px;font-weight:bold"
y="180.70863"
x="284.05219"
id="tspan3057"
sodipodi:role="line">MAJ</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="201.96854"
y="194.88187"
id="text3059"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="201.96854"
y="194.88187"
style="font-size:8px;font-weight:normal"
id="tspan3079">major version number, e.g., new additions to HDL</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="219.68504"
y="162.99211"
id="text3063"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3065"
x="219.68504"
y="162.99211"
style="font-size:10px">7</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3067"
y="162.99211"
x="386.22046"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="162.99211"
x="386.22046"
id="tspan3069"
sodipodi:role="line">4</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3071"
y="162.99211"
x="432.28348"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="162.99211"
x="432.28348"
id="tspan3073"
sodipodi:role="line">3</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="598.81891"
y="162.99211"
id="text3075"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3077"
x="598.81891"
y="162.99211"
style="font-size:10px">0</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans;-inkscape-font-specification:Sans"
x="53.149605"
y="166.53542"
id="text3081"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="53.149605"
y="166.53542"
style="font-size:20px;font-weight:bold;-inkscape-font-specification:Sans Bold"
id="tspan3087">SR,</tspan><tspan
sodipodi:role="line"
x="53.149605"
y="191.53542"
style="font-size:20px;font-weight:normal;-inkscape-font-specification:Sans"
id="tspan3093">addr.0x004</tspan></text>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="228.89287"
height="280.55576"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="man-trig-fsm.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3955"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.979899"
inkscape:cx="-9.2740196"
inkscape:cy="155.78568"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="false"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0" />
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-195.97395,-202.91246)">
<g
id="g3765">
<path
transform="matrix(0.37647987,0,0,0.37647987,123.32929,149.27814)"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
sodipodi:ry="52.142857"
sodipodi:rx="90"
sodipodi:cy="195.93361"
sodipodi:cx="284.28571"
id="path2985"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text3755"
y="226.68822"
x="218.92159"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="226.68822"
x="218.92159"
id="tspan3757"
sodipodi:role="line">IDLE</tspan></text>
</g>
<g
id="g3770"
transform="translate(0,-2.3033295)">
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3759"
sodipodi:cx="284.28571"
sodipodi:cy="195.93361"
sodipodi:rx="90"
sodipodi:ry="52.142857"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
transform="matrix(0.37647987,0,0,0.37647987,123.32929,211.65506)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="214.68575"
y="289.06024"
id="text3761"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3763"
x="214.68575"
y="289.06024"
style="font-size:10px">PASS1</tspan></text>
</g>
<g
id="g3775"
transform="translate(0,57.770204)">
<path
transform="matrix(0.37647987,0,0,0.37647987,123.32929,211.65506)"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
sodipodi:ry="52.142857"
sodipodi:rx="90"
sodipodi:cy="195.93361"
sodipodi:cx="284.28571"
id="path3777"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text3779"
y="289.06024"
x="214.68575"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="289.06024"
x="214.68575"
id="tspan3781"
sodipodi:role="line">PASS2</tspan></text>
</g>
<g
transform="translate(0,117.84375)"
id="g3783">
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3785"
sodipodi:cx="284.28571"
sodipodi:cy="195.93361"
sodipodi:rx="90"
sodipodi:ry="52.142857"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
transform="matrix(0.37647987,0,0,0.37647987,123.32929,211.65506)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="214.68575"
y="289.06024"
id="text3787"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3789"
x="214.68575"
y="289.06024"
style="font-size:10px">PASS3</tspan></text>
</g>
<g
id="g3799"
transform="translate(110.20613,177.91737)">
<path
transform="matrix(0.37647987,0,0,0.37647987,123.32929,211.65506)"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
sodipodi:ry="52.142857"
sodipodi:rx="90"
sodipodi:cy="195.93361"
sodipodi:cx="284.28571"
id="path3793"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text3795"
y="289.06024"
x="219.78584"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="289.06024"
x="219.78584"
id="tspan3797"
sodipodi:role="line">GEN</tspan></text>
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3804"
d="m 230.35714,242.71932 0,17.06518"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 230.35714,302.71932 0,17.06518"
id="path4250"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4252"
d="m 230.35714,362.54074 0,17.06518"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 230.35714,422.64481 0,17.06518"
id="path4254"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text4256"
y="254.51773"
x="205.52301"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px;font-weight:bold"
y="254.51773"
x="205.52301"
id="tspan4258"
sodipodi:role="line">0xde</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="205.52301"
y="314.51773"
id="text4260"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4262"
x="205.52301"
y="314.51773"
style="font-size:8px;font-weight:bold">0xad</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4264"
y="374.33914"
x="205.52301"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px;font-weight:bold"
y="374.33914"
x="205.52301"
id="tspan4266"
sodipodi:role="line">0xbe</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="205.52301"
y="434.44321"
id="text4268"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4270"
x="205.52301"
y="434.44321"
style="font-size:8px;font-weight:bold">0xef</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4700"
y="281.25565"
x="265.62567"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px;font-weight:bold"
y="281.25565"
x="265.62567"
id="tspan4702"
sodipodi:role="line">!= 0xad</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 264.31786,283.1168 39.02947,0"
id="path4768"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
id="g4778"
transform="translate(21.973897,-180.10498)">
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:21.2494761, 21.2494761;stroke-dashoffset:0"
id="path4780"
sodipodi:cx="284.28571"
sodipodi:cy="195.93361"
sodipodi:rx="90"
sodipodi:ry="52.142857"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
transform="matrix(0.37647987,0,0,0.37647987,211.56152,389.45671)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="307.15381"
y="466.86679"
id="text4782"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4784"
x="307.15381"
y="466.86679"
style="font-size:10px">IDLE</tspan></text>
</g>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="265.62567"
y="341.32919"
id="text4854"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4856"
x="265.62567"
y="341.32919"
style="font-size:8px;font-weight:bold">!= 0xbe</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4860"
d="m 264.31786,343.19033 39.02947,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<g
transform="translate(21.973897,-120.03145)"
id="g4862">
<path
transform="matrix(0.37647987,0,0,0.37647987,211.56152,389.45671)"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
sodipodi:ry="52.142857"
sodipodi:rx="90"
sodipodi:cy="195.93361"
sodipodi:cx="284.28571"
id="path4864"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:21.2494761, 21.2494761;stroke-dashoffset:0"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text4866"
y="466.86679"
x="307.15381"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="466.86679"
x="307.15381"
id="tspan4868"
sodipodi:role="line">IDLE</tspan></text>
</g>
<text
sodipodi:linespacing="125%"
id="text4872"
y="401.40274"
x="265.62567"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px;font-weight:bold"
y="401.40274"
x="265.62567"
id="tspan4874"
sodipodi:role="line">!= 0xef</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 264.31786,403.26388 39.02947,0"
id="path4878"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
id="g4880"
transform="translate(21.973897,-59.9579)">
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:21.2494761, 21.2494761;stroke-dashoffset:0"
id="path4882"
sodipodi:cx="284.28571"
sodipodi:cy="195.93361"
sodipodi:rx="90"
sodipodi:ry="52.142857"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
transform="matrix(0.37647987,0,0,0.37647987,211.56152,389.45671)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="307.15381"
y="466.86679"
id="text4884"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4886"
x="307.15381"
y="466.86679"
style="font-size:10px">IDLE</tspan></text>
</g>
<g
transform="translate(0,177.91737)"
id="g3050">
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3052"
sodipodi:cx="284.28571"
sodipodi:cy="195.93361"
sodipodi:rx="90"
sodipodi:ry="52.142857"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
transform="matrix(0.37647987,0,0,0.37647987,123.32929,211.65506)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="203.55537"
y="287.95184"
id="text3054"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3056"
x="203.55537"
y="287.95184"
style="font-size:10px">GET_CHAN</tspan></text>
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3073"
d="m 264.31786,463.33749 39.02947,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 178.39286,260.13516 50,0 0,-240.083113 -156.329227,0"
id="path3084"
inkscape:connector-curvature="0"
transform="translate(195.97395,202.91246)"
sodipodi:nodetypes="cccc" />
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="440.61179"
height="64.169319"
id="svg3259"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="pulse-cnt.svg">
<defs
id="defs3261" />
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8"
inkscape:cx="214.86487"
inkscape:cy="-49.142175"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
showguides="true"
inkscape:guide-bbox="true"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1">
<inkscape:grid
type="xygrid"
id="grid71895"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.5mm"
spacingy="0.5mm"
originx="-28.790677mm"
originy="-189.85889mm" />
</sodipodi:namedview>
<metadata
id="metadata3264">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-102.01421,-315.46453)">
<g
transform="translate(49.606305,12.401572)"
id="g6859">
<rect
y="311.811"
x="212.59842"
height="40.748032"
width="35.433071"
id="rect6649"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path6651"
d="m 225,341.92911 -5.31496,0 10.62992,0 0,-19.48819 10.62992,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="ccc"
inkscape:connector-curvature="0"
id="path6653"
d="m 226.77165,333.07084 3.54331,-3.5433 3.54331,3.5433"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<g
transform="translate(58.46457,12.401572)"
id="g3267">
<g
id="g72719">
<rect
y="311.811"
x="290.55118"
height="40.748032"
width="35.433071"
id="rect6857"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="292.55969"
y="339.47507"
id="text6864"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan6866"
x="292.55969"
y="339.47507"
style="font-size:20px;font-weight:bold">+1</tspan></text>
</g>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#808080;fill-opacity:1;stroke:none;font-family:Sans"
x="90.354324"
y="311.811"
id="text72715"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan72717"
x="90.354324"
y="311.811"
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end;fill:#808080;fill-opacity:1">TTL</tspan></text>
<text
sodipodi:linespacing="125%"
id="text72724"
y="361.4173"
x="90.354324"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#808080;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end;fill:#808080;fill-opacity:1"
y="361.4173"
x="90.354324"
id="tspan72726"
sodipodi:role="line">RS-485</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 210.82677,345.47242 51.37795,0"
id="path71897"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path71899"
d="m 297.6378,334.8425 51.37795,0"
style="fill:#000000;fill-opacity:0;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#808080;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 189.56693,341.92911 -21.25984,0 0,-21.25984 -17.71654,0"
id="path72681"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path72683"
d="m 189.56693,349.01573 -21.25984,0 0,21.25984 -17.71654,0"
style="fill:none;stroke:#808080;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:#ffffff;fill-opacity:1;stroke:#808080;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 185.02362,336.87137 c 5.16063,6.88084 5.16063,10.32125 0,17.20209 12.04146,0 20.64251,0 25.80315,-8.60104 -5.16064,-8.60105 -13.76169,-8.60105 -25.80315,-8.60105 z"
id="path3936"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<rect
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect72689"
width="94.134499"
height="40.748032"
x="435.5899"
y="324.21259" />
<text
sodipodi:linespacing="125%"
id="text72691"
y="351.87665"
x="437.82318"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:20px;font-weight:bold"
y="351.87665"
x="437.82318"
id="tspan72693"
sodipodi:role="line">CHxPCR</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path72699"
d="m 384.44882,345.47242 51.37795,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 529.72441,345.47243 12.40157,0 0,33.66142 -214.37007,0 0,-24.80315 21.25984,0"
id="path72701"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccccc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="133.92857"
y="317.36218"
id="text72703"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan72705"
x="133.92857"
y="317.36218" /></text>
</g>
</svg>
......@@ -9,8 +9,8 @@
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="661.07678"
height="251.03151"
width="705.3681"
height="286.46457"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
......@@ -53,9 +53,9 @@
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="5.6568542"
inkscape:cx="436.60481"
inkscape:cy="163.86669"
inkscape:zoom="2"
inkscape:cx="349.23505"
inkscape:cy="82.066342"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
......@@ -99,7 +99,20 @@
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(8.8582716,-120.74412)">
transform="translate(8.8582716,-85.311076)">
<path
inkscape:connector-curvature="0"
id="path9972"
d="m 531.49607,201.96855 -10.62992,0 -10e-6,-31.88976 19.48819,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 529.72441,81.224435 -14.17323,0"
id="path3967"
inkscape:connector-curvature="0"
transform="translate(-8.8582716,120.74412)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 411.02362,212.59845 86.81102,0"
......@@ -108,7 +121,7 @@
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 492.51967,166.27828 9.62957,0 0,23.0315"
d="m 492.51967,171.85046 9.62957,0 0,17.45932"
id="path4013"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
......@@ -186,7 +199,7 @@
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0.24999996,0,0,0.24999996,121.67006,91.240144)"
d="m 166.53544,116.92912 a 7.0866141,7.0866141 0 1 1 -14.17323,0 7.0866141,7.0866141 0 1 1 14.17323,0 z"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
......@@ -201,12 +214,6 @@
id="path3872"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 370.27558,187.79535 0,-24.80316"
id="path5139"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
id="g3940"
transform="translate(79.724405,-63.779427)">
......@@ -262,12 +269,6 @@
id="path3906"
d="m 17.716535,186.02365 17.716535,0"
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" />
<path
inkscape:connector-curvature="0"
id="path3963"
d="m 506.69291,205.51187 90.35435,-5e-5"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 203.74016,193.11029 15.94488,0"
......@@ -360,17 +361,17 @@
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="203.74016"
y="139.96066"
y="104.52761"
id="text4284"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4286"
x="203.74016"
y="139.96066"
y="104.52761"
style="font-size:14px;font-weight:bold">FPGA</tspan></text>
<path
transform="translate(102.61519,209.82679)"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
......@@ -381,16 +382,16 @@
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="359.61176"
y="159.44887"
x="318.89764"
y="175.39377"
id="text5244"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5246"
x="359.61176"
y="159.44887">ttlsel</tspan></text>
x="318.89764"
y="175.39377">ttlsel</tspan></text>
<g
transform="translate(327.75591,-49.606257)"
transform="translate(372.04724,-49.606257)"
id="g5327">
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
......@@ -426,7 +427,7 @@
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path5349"
d="m 522.63779,205.51183 88.58269,1e-5"
d="m 566.92912,205.51183 88.58269,1e-5"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:type="arc"
......@@ -436,33 +437,33 @@
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
transform="translate(412.65456,222.22833)" />
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(456.94589,222.22833)" />
<path
inkscape:connector-curvature="0"
id="path5355"
d="m 618.34101,202.11098 0,-26.71726"
d="m 662.63234,202.11098 0,-26.71726"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path5357"
d="m 522.6378,205.51183 0,28.34646 88.58268,0"
d="m 566.92913,205.51183 0,28.34646 88.58268,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="ccc" />
<text
sodipodi:linespacing="125%"
id="text5361"
y="171.8504"
x="607.67712"
x="651.96844"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="171.8504"
x="607.67712"
x="651.96844"
id="tspan5363"
sodipodi:role="line">ttlsel</tspan></text>
<g
id="g5367"
transform="translate(-63.779526,85.039369)">
transform="translate(-19.488191,85.039369)">
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 602.36221,141.73229 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
......@@ -476,24 +477,32 @@
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 a 7.0866141,7.0866141 0 1 1 -14.17323,0 7.0866141,7.0866141 0 1 1 14.17323,0 z"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
transform="matrix(0.24999996,0,0,0.24999996,581.98819,119.58663)" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 522.6378,233.85828 0,109.84252 129.33071,3e-5"
d="m 566.92913,233.85828 0,109.84252 129.33071,3e-5"
id="path5371"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 625.39372,223.22836 26.57479,0"
d="m 669.68505,223.22836 26.57479,0"
id="path5373"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<rect
style="fill:none;stroke:#000000;stroke-width:3;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:10.2"
id="rect9974"
width="487.20471"
height="283.46457"
x="196.79427"
y="53.377987"
transform="translate(-1.9123726,33.433089)" />
<path
transform="translate(412.65456,250.57478)"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
transform="translate(456.94589,250.57478)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
......@@ -522,7 +531,7 @@
style="fill:none;stroke:#000000;stroke-width:0.50000209;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0.24999996,0,0,0.24999996,121.67006,91.240144)"
d="m 166.53544,116.92912 a 7.0866141,7.0866141 0 1 1 -14.17323,0 7.0866141,7.0866141 0 1 1 14.17323,0 z"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
......@@ -557,7 +566,7 @@
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(-47.975365,209.82679)" />
<text
sodipodi:linespacing="125%"
......@@ -583,14 +592,6 @@
x="62.007874"
y="325.98428"
style="font-style:normal;text-align:center;text-anchor:middle">Signal</tspan></text>
<rect
style="fill:none;stroke:#000000;stroke-width:3;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:10.2"
id="rect9974"
width="442.91339"
height="248.03151"
x="196.79427"
y="88.811035"
transform="translate(-1.9123726,33.433089)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 469.48818,212.34127 0,-17.71653 28.34645,0"
......@@ -605,19 +606,19 @@
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(359.50494,229.05777)" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="469.48822"
y="169.82158"
y="175.39377"
id="text3217"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3219"
x="469.48822"
y="169.82158">gfen</tspan></text>
y="175.39377">gfen</tspan></text>
<g
transform="translate(35.317056,24.449158)"
id="g4020">
......@@ -726,18 +727,18 @@
<path
inkscape:connector-curvature="0"
id="path3966"
d="m 563.38584,193.11026 8.85827,0 0,-8.85822 8.85827,0 0,8.85822 17.71653,0"
d="m 607.67717,193.11026 8.85827,0 0,-8.85822 8.85827,0 0,8.85822 17.71653,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cccccc" />
<path
sodipodi:nodetypes="cccccc"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 563.38584,246.25992 8.85827,0 0,8.85822 8.85827,0 0,-8.85822 17.71653,0"
d="m 607.67717,246.25992 8.85827,0 0,8.85822 8.85827,0 0,-8.85822 17.71653,0"
id="path3968"
inkscape:connector-curvature="0" />
<path
transform="matrix(2,0,0,2,169.66705,78.780636)"
d="m 14.379074,57.279011 a 1.7716541,1.8858268 0 1 1 -3.543308,0 1.7716541,1.8858268 0 1 1 3.543308,0 z"
d="m 14.379074,57.279011 c 0,1.041513 -0.793196,1.885827 -1.771654,1.885827 -0.978458,0 -1.771654,-0.844314 -1.771654,-1.885827 0,-1.041514 0.793196,-1.885827 1.771654,-1.885827 0.978458,0 1.771654,0.844313 1.771654,1.885827 z"
sodipodi:ry="1.8858268"
sodipodi:rx="1.7716541"
sodipodi:cy="57.279011"
......@@ -789,7 +790,7 @@
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(58.323849,386.99212)" />
<text
xml:space="preserve"
......@@ -816,12 +817,12 @@
sodipodi:cy="57.279011"
sodipodi:rx="1.7716541"
sodipodi:ry="1.8858268"
d="m 14.379074,57.279011 a 1.7716541,1.8858268 0 1 1 -3.543308,0 1.7716541,1.8858268 0 1 1 3.543308,0 z"
d="m 14.379074,57.279011 c 0,1.041513 -0.793196,1.885827 -1.771654,1.885827 -0.978458,0 -1.771654,-0.844314 -1.771654,-1.885827 0,-1.041514 0.793196,-1.885827 1.771654,-1.885827 0.978458,0 1.771654,0.844313 1.771654,1.885827 z"
transform="matrix(2,0,0,2,169.66705,255.71759)" />
</g>
<path
transform="matrix(2,0,0,2,612.58044,108.67036)"
d="m 14.379074,57.279011 a 1.7716541,1.8858268 0 1 1 -3.543308,0 1.7716541,1.8858268 0 1 1 3.543308,0 z"
transform="matrix(2,0,0,2,656.87177,108.67036)"
d="m 14.379074,57.279011 c 0,1.041513 -0.793196,1.885827 -1.771654,1.885827 -0.978458,0 -1.771654,-0.844314 -1.771654,-1.885827 0,-1.041514 0.793196,-1.885827 1.771654,-1.885827 0.978458,0 1.771654,0.844313 1.771654,1.885827 z"
sodipodi:ry="1.8858268"
sodipodi:rx="1.7716541"
sodipodi:cy="57.279011"
......@@ -837,8 +838,8 @@
sodipodi:cy="57.279011"
sodipodi:rx="1.7716541"
sodipodi:ry="1.8858268"
d="m 14.379074,57.279011 a 1.7716541,1.8858268 0 1 1 -3.543308,0 1.7716541,1.8858268 0 1 1 3.543308,0 z"
transform="matrix(2,0,0,2,612.58044,229.14279)" />
d="m 14.379074,57.279011 c 0,1.041513 -0.793196,1.885827 -1.771654,1.885827 -0.978458,0 -1.771654,-0.844314 -1.771654,-1.885827 0,-1.041514 0.793196,-1.885827 1.771654,-1.885827 0.978458,0 1.771654,0.844313 1.771654,1.885827 z"
transform="matrix(2,0,0,2,656.87177,229.14279)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
......@@ -853,11 +854,11 @@
sodipodi:cy="57.279011"
sodipodi:rx="1.7716541"
sodipodi:ry="1.8858268"
d="m 14.379074,57.279011 a 1.7716541,1.8858268 0 1 1 -3.543308,0 1.7716541,1.8858268 0 1 1 3.543308,0 z"
d="m 14.379074,57.279011 c 0,1.041513 -0.793196,1.885827 -1.771654,1.885827 -0.978458,0 -1.771654,-0.844314 -1.771654,-1.885827 0,-1.041514 0.793196,-1.885827 1.771654,-1.885827 0.978458,0 1.771654,0.844313 1.771654,1.885827 z"
transform="matrix(2,0,0,2,169.66705,193.70972)" />
<path
transform="matrix(2,0,0,2,169.66705,238.00106)"
d="m 14.379074,57.279011 a 1.7716541,1.8858268 0 1 1 -3.543308,0 1.7716541,1.8858268 0 1 1 3.543308,0 z"
d="m 14.379074,57.279011 c 0,1.041513 -0.793196,1.885827 -1.771654,1.885827 -0.978458,0 -1.771654,-0.844314 -1.771654,-1.885827 0,-1.041514 0.793196,-1.885827 1.771654,-1.885827 0.978458,0 1.771654,0.844313 1.771654,1.885827 z"
sodipodi:ry="1.8858268"
sodipodi:rx="1.7716541"
sodipodi:cy="57.279011"
......@@ -920,7 +921,7 @@
sodipodi:nodetypes="ccc" />
<path
transform="translate(155.76479,324.75591)"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
......@@ -945,7 +946,7 @@
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 a 7.0866141,7.0866141 0 1 1 -14.17323,0 7.0866141,7.0866141 0 1 1 14.17323,0 z"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
transform="matrix(0.24999996,0,0,0.24999996,281.20867,317.98037)" />
</g>
<g
......@@ -994,26 +995,170 @@
x="62.007874"
sodipodi:role="line"
id="tspan4408">Signal</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 504.92126,258.66147 15.94489,0 0,-49.6063 12.40157,0"
id="path9391"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path9389"
d="m 528.72441,196.91081 c 5.16063,6.88084 5.16063,10.32125 0,17.20209 12.04146,0 20.64251,0 25.80315,-8.60104 -5.16064,-8.60105 -13.76169,-8.60105 -25.80315,-8.60105 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<rect
y="237.40164"
x="459.53894"
height="40.747997"
width="45.062992"
id="rect9395"
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.55000001;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text9397"
y="248.03157"
x="481.88977"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
y="248.03157"
x="481.88977"
id="tspan9399"
sodipodi:role="line">Manual</tspan><tspan
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
y="260.53156"
x="481.88977"
sodipodi:role="line"
id="tspan9416">pulse</tspan><tspan
id="tspan9403"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
y="273.03156"
x="481.88977"
sodipodi:role="line">trigger</tspan></text>
<rect
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.55000001;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect9949"
width="47.15398"
height="26.57481"
x="540.35431"
y="157.67722" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="398.62204"
y="246.2599"
id="text4426"
x="563.73602"
y="168.44264"
id="text9951"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4428"
x="398.62204"
y="246.2599"
style="font-size:10px"><tspan
style="font-size:10px;font-weight:bold"
id="tspan4430">FPI</tspan> = First Pulse Inhibit</tspan><tspan
x="563.73602"
y="168.44264"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan9957">Pulse</tspan><tspan
id="tspan9964"
sodipodi:role="line"
x="563.73602"
y="180.94264"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle">counter</tspan></text>
<rect
y="124.0158"
x="540.35431"
height="26.57481"
width="53.149593"
id="rect3173"
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text3175"
y="134.78122"
x="567.2793"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan3177"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
y="134.78122"
x="567.2793"
sodipodi:role="line">Pulse</tspan><tspan
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
y="147.28122"
x="567.2793"
sodipodi:role="line"
x="398.62204"
y="258.75989"
style="font-size:10px"
id="tspan4432"><tspan
id="tspan3179">time-tag</tspan></text>
<path
sodipodi:nodetypes="ccc"
inkscape:connector-curvature="0"
id="path3187"
d="m 520.86615,170.07879 0,-33.66142 19.48818,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="translate(410.8829,218.68506)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path3185"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3969"
d="m 566.92913,205.51186 -12.40157,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 354.33071,51.10634 24.80315,0 0,15.944866"
id="path3990"
inkscape:connector-curvature="0"
transform="translate(-8.8582716,120.74412)"
sodipodi:nodetypes="ccc" />
<g
id="g4002"
transform="translate(-35.433082,-17.716524)">
<g
id="g4030">
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="354.33072"
y="120.4725"
id="text4426"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4428"
x="354.33072"
y="120.4725"
style="font-size:10px"> = module not implemented</tspan><tspan
sodipodi:role="line"
x="354.33072"
y="132.97249"
style="font-size:10px"
id="tspan3998"> in golden gateware versions</tspan><tspan
sodipodi:role="line"
x="354.33072"
y="145.47249"
style="font-size:10px"
id="tspan3994"><tspan
style="font-size:10px;font-weight:bold"
id="tspan3996">FPI</tspan> = First Pulse Inhibit</tspan><tspan
sodipodi:role="line"
x="354.33072"
y="157.97249"
style="font-size:10px"
id="tspan4432"><tspan
style="font-size:10px;font-weight:bold"
id="tspan4434">GF</tspan> = Glitch Filter</tspan></text>
<rect
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3992"
width="17.716587"
height="8.858284"
x="354.33072"
y="-122.24415"
transform="scale(1,-1)"
ry="0" />
</g>
</g>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="279.78534"
height="159.56299"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="timetag-arch.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="TriangleInM"
style="overflow:visible">
<path
id="path4202"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="TriangleOutL"
style="overflow:visible">
<path
id="path4208"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path4211"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8284271"
inkscape:cx="139.53053"
inkscape:cy="165.45844"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
showguides="true"
inkscape:guide-bbox="true"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid3004"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.25mm"
spacingy="0.25mm"
originx="-76.179444mm"
originy="-202.60889mm" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-269.92716,-174.89363)">
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 270.17716,244.48812 76.1811,0"
id="path8077"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<rect
y="232.97237"
x="509.35034"
height="53.14962"
width="13.287388"
id="rect5794"
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<rect
y="232.97237"
x="522.6377"
height="53.14962"
width="13.287388"
id="rect5796"
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<rect
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect5798"
width="13.287388"
height="53.14962"
x="535.92511"
y="232.97237" />
<rect
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect6253"
width="13.287388"
height="53.14962"
x="496.06299"
y="232.97237" />
<rect
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect6758"
width="62.007874"
height="66.437027"
x="349.90155"
y="232.97237" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="270.17715"
y="250.6889"
id="text4797"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4799"
x="270.17715"
y="250.6889"
style="font-size:6px;text-align:start;text-anchor:start">White Rabbit time</tspan></text>
<text
sodipodi:linespacing="125%"
id="text5171"
y="259.54715"
x="270.17715"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:start;text-anchor:start"
y="259.54715"
x="270.17715"
id="tspan5173"
sodipodi:role="line">White Rabbit time valid</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="270.17715"
y="268.40543"
id="text5175"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5177"
x="270.17715"
y="268.40543"
style="font-size:6px;text-align:start;text-anchor:start">Local time</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="516.43695"
y="218.79913"
id="text5986"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="516.43695"
y="218.79913"
style="font-size:6px;text-align:center;text-anchor:middle"
id="tspan4215">Read pointer</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="416.33856"
y="241.83063"
id="text5992"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5994"
x="416.33856"
y="241.83063"
style="font-size:6px;text-align:start;text-anchor:start">Trigger channel</tspan></text>
<text
sodipodi:linespacing="125%"
id="text6033"
y="250.6889"
x="416.33856"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"
inkscape:transform-center-x="10.430045"
inkscape:transform-center-y="5.4426047"><tspan
style="font-size:6px;text-align:start;text-anchor:start"
y="250.6889"
x="416.33856"
id="tspan6035"
sodipodi:role="line">TAI time</tspan></text>
<g
id="g6292"
transform="translate(-68.888121,-63.644077)">
<g
id="g6739"
transform="translate(-19.931093,21.508793)">
<text
sodipodi:linespacing="125%"
id="text6284"
y="303.58963"
x="470.12509"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
y="303.58963"
x="470.12509"
sodipodi:role="line"
id="tspan6746">Timetag</tspan><tspan
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
y="316.08963"
x="470.12509"
sodipodi:role="line"
id="tspan6750">controller</tspan></text>
</g>
</g>
<text
inkscape:transform-center-y="5.4426046"
inkscape:transform-center-x="10.430045"
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="416.33856"
y="259.54715"
id="text7512"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7514"
x="416.33856"
y="259.54715"
style="font-size:6px;text-align:start;text-anchor:start">Cycles time</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="363.18896"
y="360.63318"
id="text7981"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7983"
x="363.18896"
y="360.63318"
style="font-size:10px;text-align:center;text-anchor:middle" /></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="113.76843"
y="117.42808"
id="text8001"
sodipodi:linespacing="125%"
transform="translate(220.57693,239.53561)"><tspan
sodipodi:role="line"
id="tspan8003"
x="113.76843"
y="117.42808" /></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM)"
d="m 346.35825,286.12197 -23.03149,0 0,35.43307 44.29135,0"
id="path8057"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="279.03543"
y="283.46451"
id="text8079"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="279.03543"
y="283.46451"
style="font-size:6px;text-align:start;text-anchor:start"
id="tspan4898">TAI time from register</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4207"
y="241.83066"
x="270.17715"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:start;text-anchor:start"
y="241.83066"
x="270.17715"
sodipodi:role="line"
id="tspan4211">Pulse inputs</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 270.17716,253.34638 76.1811,0"
id="path4215"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
inkscape:connector-curvature="0"
id="path4217"
d="m 270.17716,262.20464 76.1811,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 270.17716,271.06291 76.1811,0"
id="path4219"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:none;marker-end:url(#TriangleOutM)"
d="m 411.90943,244.48811 80.61024,0"
id="path4223"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 215.56687,13.810763 80.61024,0"
id="path5155"
inkscape:connector-curvature="0"
transform="translate(196.34256,239.53561)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 411.90943,262.20464 80.61024,0"
id="path5157"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text5159"
y="268.40543"
x="416.33856"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:start;text-anchor:start"
y="268.40543"
x="416.33856"
id="tspan5161"
sodipodi:role="line">White Rabbit time valid</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 215.56687,31.527298 80.61024,0"
id="path5163"
inkscape:connector-curvature="0"
transform="translate(196.34256,239.53561)"
sodipodi:nodetypes="cc" />
<g
id="g3857"
transform="translate(4.4291352,35.433072)">
<rect
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect5578"
width="10.623631"
height="9.7082977"
x="463.29367"
y="281.69284" />
<text
sodipodi:linespacing="125%"
id="text5574"
y="288.73108"
x="468.49564"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan3212"
style="font-size:6px;text-align:center;text-anchor:middle"
y="288.73108"
x="468.49564"
sodipodi:role="line">SR</tspan></text>
</g>
<text
sodipodi:linespacing="125%"
id="text3220"
y="261.31885"
x="502.70523"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"
inkscape:transform-center-x="10.430045"
inkscape:transform-center-y="5.4426046"><tspan
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
y="261.31885"
x="502.70523"
id="tspan3222"
sodipodi:role="line">0</tspan></text>
<text
inkscape:transform-center-y="5.4426046"
inkscape:transform-center-x="10.430045"
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="515.86072"
y="261.31885"
id="text3224"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3226"
x="515.86072"
y="261.31885"
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle">1</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3228"
y="261.31885"
x="542.41498"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"
inkscape:transform-center-x="10.430051"
inkscape:transform-center-y="5.4426046"><tspan
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
y="261.31885"
x="542.41498"
id="tspan3230"
sodipodi:role="line">128</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3232"
y="261.31885"
x="529.2843"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"
inkscape:transform-center-x="10.430045"
inkscape:transform-center-y="5.4425989"><tspan
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
y="261.31885"
x="529.2843"
id="tspan3234"
sodipodi:role="line">...</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 516.43701,221.45663 0,7.08661"
id="path4220"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<rect
y="312.69678"
x="367.6181"
height="17.716564"
width="26.57486"
id="rect4408"
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text4431"
y="319.78339"
x="381.07394"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:center;text-anchor:middle"
y="319.78339"
x="381.07394"
sodipodi:role="line"
id="tspan4825">TVLR</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 456.20079,271.06292 0,50.49213 7.97244,0"
id="path4445"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 412.00671,286.12197 26.47753,0 0,35.49132 -40.74802,0"
id="path4633"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<text
inkscape:transform-center-y="5.4426047"
inkscape:transform-center-x="10.430045"
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="416.33856"
y="284.35031"
id="text4821"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4823"
x="416.33856"
y="284.35031"
style="font-size:6px;text-align:start;text-anchor:start">TAI time</tspan></text>
<rect
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4827"
width="35.433067"
height="31.003952"
x="501.37796"
y="302.95267" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4844"
d="m 516.43701,286.12198 0,13.28739"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 501.37796,310.0393 35.43307,0"
id="path4858"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 501.37796,318.01174 35.43307,0"
id="path4864"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 501.37796,325.98418 35.43307,0"
id="path4868"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="519.19116"
y="309.15347"
id="text4870"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4872"
x="519.19116"
y="309.15347"
style="font-size:6px;text-align:center;text-anchor:middle">TBMR</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4874"
y="316.24008"
x="519.19116"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:center;text-anchor:middle"
y="316.24008"
x="519.19116"
id="tspan4876"
sodipodi:role="line">TBCYR</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="519.19116"
y="324.21252"
id="text4878"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4880"
x="519.19116"
y="324.21252"
style="font-size:6px;text-align:center;text-anchor:middle">TBTLR</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4882"
y="332.18497"
x="519.19116"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:center;text-anchor:middle"
y="332.18497"
x="519.19116"
id="tspan4884"
sodipodi:role="line">TBTHR</tspan></text>
<path
inkscape:connector-curvature="0"
id="path4890"
d="m 367.61811,321.55505 26.5748,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="381.07394"
y="328.64166"
id="text4894"
sodipodi:linespacing="125%"><tspan
id="tspan4896"
sodipodi:role="line"
x="381.07394"
y="328.64166"
style="font-size:6px;text-align:center;text-anchor:middle">TVHR</tspan></text>
<rect
y="175.39363"
x="500.49213"
height="23.917377"
width="37.204697"
id="rect3068"
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<path
inkscape:connector-curvature="0"
id="path3072"
d="m 500.49212,183.36607 37.20473,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="519.19116"
y="181.59444"
id="text3080"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3082"
x="519.19116"
y="181.59444"
style="font-size:6px;text-align:center;text-anchor:middle">CHxLTSCYR</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3084"
y="189.56688"
x="519.19116"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:center;text-anchor:middle"
y="189.56688"
x="519.19116"
id="tspan3086"
sodipodi:role="line">CHxLTSTLR</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="519.19116"
y="197.53932"
id="text3088"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3090"
x="519.19116"
y="197.53932"
style="font-size:6px;text-align:center;text-anchor:middle">CHxLTSTHR</tspan></text>
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 500.49212,191.33851 37.20473,0"
id="path3092"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 213.09843,39.105847 0,-58.464567 14.17323,0"
id="path3094"
inkscape:connector-curvature="0"
transform="translate(269.67716,214.24054)"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 213.09843,-19.35872 0,-7.97244 14.17323,0"
id="path3096"
inkscape:connector-curvature="0"
transform="translate(269.67716,214.24054)"
sodipodi:nodetypes="ccc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path4070"
sodipodi:cx="213.98425"
sodipodi:cy="39.991673"
sodipodi:rx="0.88583136"
sodipodi:ry="0.88583136"
d="m 214.87008,39.991673 c 0,0.489231 -0.3966,0.885831 -0.88583,0.885831 -0.48923,0 -0.88583,-0.3966 -0.88583,-0.885831 0,-0.489232 0.3966,-0.885832 0.88583,-0.885832 0.48923,0 0.88583,0.3966 0.88583,0.885832 z"
transform="translate(268.79134,213.35471)" />
<path
transform="translate(242.21653,231.07125)"
d="m 214.87008,39.991673 c 0,0.489227 -0.3966,0.885824 -0.88583,0.885824 -0.48922,0 -0.88582,-0.396597 -0.88582,-0.885824 0,-0.489228 0.3966,-0.885825 0.88582,-0.885825 0.48923,0 0.88583,0.396597 0.88583,0.885825 z"
sodipodi:ry="0.8858245"
sodipodi:rx="0.8858245"
sodipodi:cy="39.991673"
sodipodi:cx="213.98425"
id="path4072"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
sodipodi:nodetypes="ccc"
inkscape:connector-curvature="0"
id="path4074"
d="m 473.91732,262.20466 0,-82.38189 23.0315,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" />
<path
transform="translate(259.93307,222.21298)"
d="m 214.87008,39.991673 c 0,0.489231 -0.3966,0.885831 -0.88583,0.885831 -0.48923,0 -0.88583,-0.3966 -0.88583,-0.885831 0,-0.489232 0.3966,-0.885832 0.88583,-0.885832 0.48923,0 0.88583,0.3966 0.88583,0.885832 z"
sodipodi:ry="0.88583136"
sodipodi:rx="0.88583136"
sodipodi:cy="39.991673"
sodipodi:cx="213.98425"
id="path4076"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="763.9563"
height="474.45511"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="timetag-buf-mech.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3914"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.3398153"
inkscape:cx="289.74312"
inkscape:cy="282.06868"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
showguides="true"
inkscape:guide-bbox="true"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid2985"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.5mm"
spacingy="0.5mm"
originx="35.005274mm"
originy="-158.94332mm" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(124.03443,-14.722073)">
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect2987"
width="35.433071"
height="26.574802"
x="53.149605"
y="51.37793" />
<rect
y="51.37793"
x="88.58268"
height="26.574802"
width="35.433071"
id="rect3757"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<rect
y="51.37793"
x="124.01575"
height="26.574802"
width="35.433071"
id="rect3759"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect3761"
width="35.433071"
height="26.574802"
x="159.44882"
y="51.440475" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4209"
d="m 70.866143,95.669269 0,-12.401575"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="70.866142"
y="24.803127"
id="text4211"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4213"
x="70.866142"
y="24.803127"
style="font-size:18px;text-align:center;text-anchor:middle">rp</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4215"
y="113.3858"
x="70.866142"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:18px;text-align:center;text-anchor:middle"
y="113.3858"
x="70.866142"
id="tspan4217"
sodipodi:role="line">wp</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 70.866143,33.661395 0,12.401575"
id="path4219"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="203.74016"
y="57.587254"
id="text4240"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4242"
x="203.74016"
y="57.587254"
style="font-size:8px">EMPTY = 1</tspan><tspan
sodipodi:role="line"
x="203.74016"
y="67.58725"
id="tspan4244"
style="font-size:8px">FULL = 0</tspan><tspan
sodipodi:role="line"
x="203.74016"
y="77.58725"
style="font-size:8px"
id="tspan4246">USEDW = 0</tspan></text>
<rect
y="175.39368"
x="53.149605"
height="26.574802"
width="35.433071"
id="rect4248"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4250"
width="35.433071"
height="26.574802"
x="88.58268"
y="175.39368" />
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4252"
width="35.433071"
height="26.574802"
x="124.01575"
y="175.39368" />
<rect
y="175.45622"
x="159.44882"
height="26.574802"
width="35.433071"
id="rect4254"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 106.29921,219.68502 0,-12.40158"
id="path4256"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text4258"
y="148.81888"
x="70.866142"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:18px;text-align:center;text-anchor:middle"
y="148.81888"
x="70.866142"
id="tspan4260"
sodipodi:role="line">rp</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="106.29921"
y="237.40155"
id="text4262"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4264"
x="106.29921"
y="237.40155"
style="font-size:18px;text-align:center;text-anchor:middle">wp</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4266"
d="m 70.866143,157.67714 0,12.40158"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<text
sodipodi:linespacing="125%"
id="text4268"
y="181.603"
x="203.74016"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px"
y="181.603"
x="203.74016"
id="tspan4270"
sodipodi:role="line">EMPTY = 0</tspan><tspan
style="font-size:8px"
id="tspan4272"
y="191.603"
x="203.74016"
sodipodi:role="line">FULL = 0</tspan><tspan
id="tspan4274"
style="font-size:8px"
y="201.603"
x="203.74016"
sodipodi:role="line">USEDW = 1</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4276"
y="69.094467"
x="17.716536"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:18px;text-align:center;text-anchor:middle"
y="69.094467"
x="17.716536"
id="tspan4278"
sodipodi:role="line" /></text>
<rect
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4280"
width="35.433071"
height="26.574802"
x="53.149605"
y="175.39368" />
<rect
y="175.39368"
x="88.58268"
height="26.574802"
width="35.433071"
id="rect4282"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<rect
y="175.39368"
x="124.01575"
height="26.574802"
width="35.433071"
id="rect4284"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4286"
width="35.433071"
height="26.574802"
x="159.44882"
y="175.45622" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4288"
d="m 106.29921,219.68502 0,-12.40158"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="70.866142"
y="148.81888"
id="text4290"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4292"
x="70.866142"
y="148.81888"
style="font-size:18px;text-align:center;text-anchor:middle">rp</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4294"
y="237.40155"
x="106.29921"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:18px;text-align:center;text-anchor:middle"
y="237.40155"
x="106.29921"
id="tspan4296"
sodipodi:role="line">wp</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 70.866143,157.67714 0,12.40158"
id="path4298"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="203.74016"
y="181.603"
id="text4300"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4302"
x="203.74016"
y="181.603"
style="font-size:8px">EMPTY = 0</tspan><tspan
sodipodi:role="line"
x="203.74016"
y="191.603"
id="tspan4304"
style="font-size:8px">FULL = 0</tspan><tspan
sodipodi:role="line"
x="203.74016"
y="201.603"
style="font-size:8px"
id="tspan4306">USEDW = 1</tspan></text>
<rect
y="299.40942"
x="53.149605"
height="26.574802"
width="35.433071"
id="rect4308"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<rect
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4310"
width="35.433071"
height="26.574802"
x="88.58268"
y="299.40942" />
<rect
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4312"
width="35.433071"
height="26.574802"
x="124.01575"
y="299.40942" />
<rect
y="299.47195"
x="159.44882"
height="26.574802"
width="35.433071"
id="rect4314"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 70.866142,343.70076 0,-12.40157"
id="path4316"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text4318"
y="272.83463"
x="70.866142"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:18px;text-align:center;text-anchor:middle"
y="272.83463"
x="70.866142"
id="tspan4320"
sodipodi:role="line">rp</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="70.866142"
y="361.4173"
id="text4322"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4324"
x="70.866142"
y="361.4173"
style="font-size:18px;text-align:center;text-anchor:middle">wp</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4326"
d="m 70.866142,281.69289 0,12.40157"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<text
sodipodi:linespacing="125%"
id="text4328"
y="305.61874"
x="203.74016"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px"
y="305.61874"
x="203.74016"
id="tspan4330"
sodipodi:role="line">EMPTY = 0</tspan><tspan
style="font-size:8px"
id="tspan4332"
y="315.61874"
x="203.74016"
sodipodi:role="line">FULL = 1</tspan><tspan
id="tspan4334"
style="font-size:8px"
y="325.61874"
x="203.74016"
sodipodi:role="line">USEDW = 0</tspan></text>
<rect
style="fill:#333333;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4336"
width="35.433071"
height="26.574802"
x="53.149605"
y="423.42517" />
<rect
y="423.42517"
x="88.58268"
height="26.574802"
width="35.433071"
id="rect4338"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<rect
y="423.42517"
x="124.01575"
height="26.574802"
width="35.433071"
id="rect4340"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<rect
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4342"
width="35.433071"
height="26.574802"
x="159.44882"
y="423.4877" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4344"
d="m 106.29921,467.71651 0,-12.40157"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="106.29921"
y="396.85037"
id="text4346"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4348"
x="106.29921"
y="396.85037"
style="font-size:18px;text-align:center;text-anchor:middle">rp</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4350"
y="485.43304"
x="106.29921"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:18px;text-align:center;text-anchor:middle"
y="485.43304"
x="106.29921"
id="tspan4352"
sodipodi:role="line">wp</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 106.29921,405.70864 0,12.40157"
id="path4354"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="203.74016"
y="429.63449"
id="text4356"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4358"
x="203.74016"
y="429.63449"
style="font-size:8px">EMPTY = 0</tspan><tspan
sodipodi:role="line"
x="203.74016"
y="439.63449"
id="tspan4360"
style="font-size:8px">FULL = 1</tspan><tspan
sodipodi:role="line"
x="203.74016"
y="449.63449"
style="font-size:8px"
id="tspan4362">USEDW = 0</tspan></text>
<rect
y="175.39368"
x="442.91339"
height="26.574802"
width="35.433071"
id="rect4364"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4366"
width="35.433071"
height="26.574802"
x="478.34647"
y="175.39368" />
<rect
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4368"
width="35.433071"
height="26.574802"
x="513.77948"
y="175.39368" />
<rect
y="175.45624"
x="549.21259"
height="26.574802"
width="35.433071"
id="rect4370"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 496.06299,219.68502 0,-12.40157"
id="path4372"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text4374"
y="148.81891"
x="531.49603"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:18px;text-align:center;text-anchor:middle"
y="148.81891"
x="531.49603"
id="tspan4376"
sodipodi:role="line">rp</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="496.06296"
y="237.40155"
id="text4378"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4380"
x="496.06296"
y="237.40155"
style="font-size:18px;text-align:center;text-anchor:middle">wp</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4382"
d="m 531.49606,157.67715 0,12.40157"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<text
sodipodi:linespacing="125%"
id="text4384"
y="181.60303"
x="593.50391"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px"
y="181.60303"
x="593.50391"
id="tspan4386"
sodipodi:role="line">EMPTY = 0</tspan><tspan
style="font-size:8px"
id="tspan4388"
y="191.60303"
x="593.50391"
sodipodi:role="line">FULL = 0</tspan><tspan
id="tspan4390"
style="font-size:8px"
y="201.60303"
x="593.50391"
sodipodi:role="line">USEDW = 3</tspan></text>
<rect
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4392"
width="35.433071"
height="26.574802"
x="442.91339"
y="299.40942" />
<rect
y="299.40942"
x="478.34647"
height="26.574802"
width="35.433071"
id="rect4394"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<rect
y="299.40942"
x="513.77948"
height="26.574802"
width="35.433071"
id="rect4396"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4398"
width="35.433071"
height="26.574802"
x="549.21259"
y="299.47198" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4400"
d="m 496.06299,343.70077 0,-12.40157"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="460.62991"
y="272.83466"
id="text4402"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4404"
x="460.62991"
y="272.83466"
style="font-size:18px;text-align:center;text-anchor:middle">rp</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4406"
y="361.4173"
x="496.06296"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:18px;text-align:center;text-anchor:middle"
y="361.4173"
x="496.06296"
id="tspan4408"
sodipodi:role="line">wp</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 460.62992,281.6929 0,12.40157"
id="path4410"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="593.50391"
y="305.61877"
id="text4412"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4414"
x="593.50391"
y="305.61877"
style="font-size:8px">EMPTY = 0</tspan><tspan
sodipodi:role="line"
x="593.50391"
y="315.61877"
id="tspan4416"
style="font-size:8px">FULL = 0</tspan><tspan
sodipodi:role="line"
x="593.50391"
y="325.61877"
style="font-size:8px"
id="tspan4418">USEDW = 1</tspan></text>
<rect
y="423.42517"
x="442.91339"
height="26.574802"
width="35.433071"
id="rect4420"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4422"
width="35.433071"
height="26.574802"
x="478.34647"
y="423.42517" />
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4424"
width="35.433071"
height="26.574802"
x="513.77948"
y="423.42517" />
<rect
y="423.42517"
x="549.21259"
height="26.574802"
width="35.433071"
id="rect4426"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 496.06299,467.71653 0,-12.4016"
id="path4428"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text4430"
y="396.85034"
x="496.06302"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:18px;text-align:center;text-anchor:middle"
y="396.85034"
x="496.06302"
id="tspan4432"
sodipodi:role="line">rp</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="496.06296"
y="485.43301"
id="text4434"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4436"
x="496.06296"
y="485.43301"
style="font-size:18px;text-align:center;text-anchor:middle">wp</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4438"
d="m 496.06299,405.70863 0,12.4016"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<text
sodipodi:linespacing="125%"
id="text4440"
y="429.63452"
x="593.50391"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px"
y="429.63452"
x="593.50391"
id="tspan4442"
sodipodi:role="line">EMPTY = 1</tspan><tspan
style="font-size:8px"
id="tspan4444"
y="439.63452"
x="593.50391"
sodipodi:role="line">FULL = 0</tspan><tspan
id="tspan4446"
style="font-size:8px"
y="449.63452"
x="593.50391"
sodipodi:role="line">USEDW = 0</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4476"
y="62.143356"
x="44.29134"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:11px;text-align:end;text-anchor:end"
y="62.143356"
x="44.29134"
sodipodi:role="line"
id="tspan4482">Before pulse arrival,</tspan><tspan
style="font-size:11px;text-align:end;text-anchor:end"
y="75.893356"
x="44.29134"
sodipodi:role="line"
id="tspan4489">or after buffer clear</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="44.29134"
y="185.18987"
id="text4491"
sodipodi:linespacing="125%"><tspan
id="tspan4495"
sodipodi:role="line"
x="44.29134"
y="185.18987"
style="font-size:11px;text-align:end;text-anchor:end">One pulse arrives,</tspan><tspan
sodipodi:role="line"
x="44.29134"
y="198.93987"
style="font-size:11px;text-align:end;text-anchor:end"
id="tspan4499">advancing write</tspan><tspan
sodipodi:role="line"
x="44.29134"
y="212.68987"
style="font-size:11px;text-align:end;text-anchor:end"
id="tspan4648">pointer</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4501"
y="309.20563"
x="30.024872"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4505"
style="font-size:11px;text-align:end;text-anchor:end"
y="309.20563"
x="30.024872"
sodipodi:role="line">Three more pulses</tspan><tspan
style="font-size:11px;text-align:end;text-anchor:end"
y="322.95563"
x="30.024872"
sodipodi:role="line"
id="tspan4509">arrive, filling up</tspan><tspan
style="font-size:11px;text-align:end;text-anchor:end"
y="336.70563"
x="30.024872"
sodipodi:role="line"
id="tspan4654">the ring buffer</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-79.724411"
y="432.28345"
id="text4511"
sodipodi:linespacing="125%"><tspan
id="tspan4515"
sodipodi:role="line"
x="-79.724411"
y="432.28345"
style="font-size:10px;text-align:start;text-anchor:start" /></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="37.085419"
y="420.72137"
id="text4519"
sodipodi:linespacing="125%"><tspan
id="tspan4523"
sodipodi:role="line"
x="37.085419"
y="420.72137"
style="font-size:11px;text-align:end;text-anchor:end">One more pulse arrives,</tspan><tspan
sodipodi:role="line"
x="37.085419"
y="434.47137"
style="font-size:11px;text-align:end;text-anchor:end"
id="tspan4539">one timetag overwritten,</tspan><tspan
sodipodi:role="line"
x="37.085419"
y="448.22137"
style="font-size:11px;text-align:end;text-anchor:end"
id="tspan4541">read pointer advances</tspan><tspan
sodipodi:role="line"
x="37.085419"
y="461.97137"
style="font-size:11px;text-align:end;text-anchor:end"
id="tspan4668">with write pointer</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4529"
y="178.93987"
x="434.05515"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:11px;text-align:end;text-anchor:end"
y="178.93987"
x="434.05515"
sodipodi:role="line"
id="tspan4533">Read from TBMR,</tspan><tspan
style="font-size:11px;text-align:end;text-anchor:end"
y="192.68987"
x="434.05515"
sodipodi:role="line"
id="tspan4690">advancing read</tspan><tspan
style="font-size:11px;text-align:end;text-anchor:end"
y="206.43987"
x="434.05515"
sodipodi:role="line"
id="tspan4694">pointer</tspan></text>
<rect
y="51.37793"
x="442.91339"
height="26.574802"
width="35.433071"
id="rect4543"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<rect
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4545"
width="35.433071"
height="26.574802"
x="478.34647"
y="51.37793" />
<rect
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4547"
width="35.433071"
height="26.574802"
x="513.77948"
y="51.37793" />
<rect
y="51.440491"
x="549.21259"
height="26.574802"
width="35.433071"
id="rect4549"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 496.06299,95.669271 0,-12.40157"
id="path4551"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text4553"
y="24.803164"
x="496.06296"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:18px;text-align:center;text-anchor:middle"
y="24.803164"
x="496.06296"
id="tspan4555"
sodipodi:role="line">rp</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="496.06296"
y="113.3858"
id="text4557"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4559"
x="496.06296"
y="113.3858"
style="font-size:18px;text-align:center;text-anchor:middle">wp</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4561"
d="m 496.06299,33.6614 0,12.40157"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<text
sodipodi:linespacing="125%"
id="text4563"
y="57.58728"
x="593.50391"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px"
y="57.58728"
x="593.50391"
id="tspan4565"
sodipodi:role="line">EMPTY = 0</tspan><tspan
style="font-size:8px"
id="tspan4567"
y="67.58728"
x="593.50391"
sodipodi:role="line">FULL = 1</tspan><tspan
id="tspan4569"
style="font-size:8px"
y="77.58728"
x="593.50391"
sodipodi:role="line">USEDW = 0</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4571"
y="55.893356"
x="435.87839"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4579"
style="font-size:11px;text-align:end;text-anchor:end"
y="55.893356"
x="435.87839"
sodipodi:role="line">Read from TBCYR, TBTLR,</tspan><tspan
style="font-size:11px;text-align:end;text-anchor:end"
y="69.643356"
x="435.87839"
sodipodi:role="line"
id="tspan4590">or TBHR, read pointer</tspan><tspan
style="font-size:11px;text-align:end;text-anchor:end"
y="83.393356"
x="435.87839"
sodipodi:role="line"
id="tspan4592">does not advance</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="434.05515"
y="316.42487"
id="text4594"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="434.05515"
y="316.42487"
style="font-size:11px;text-align:end;text-anchor:end"
id="tspan4636">Two more TBMR reads</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4606"
y="414.47137"
x="434.05515"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:11px;text-align:end;text-anchor:end"
y="414.47137"
x="434.05515"
sodipodi:role="line"
id="tspan4610">One more TBMR read,</tspan><tspan
style="font-size:11px;text-align:end;text-anchor:end"
y="428.22137"
x="434.05515"
sodipodi:role="line"
id="tspan4704">emptying the buffer,</tspan><tspan
style="font-size:11px;text-align:end;text-anchor:end"
y="441.97137"
x="434.05515"
sodipodi:role="line"
id="tspan4730">any more reads will</tspan><tspan
style="font-size:11px;text-align:end;text-anchor:end"
y="455.72137"
x="434.05515"
sodipodi:role="line"
id="tspan4734">not advance the</tspan><tspan
style="font-size:11px;text-align:end;text-anchor:end"
y="469.47137"
x="434.05515"
sodipodi:role="line"
id="tspan4738">read pointer</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4612"
y="71.226364"
x="-115.15748"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:18px;font-weight:bold;text-align:center;text-anchor:middle"
y="71.226364"
x="-115.15748"
id="tspan4614"
sodipodi:role="line">1.</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-115.15748"
y="195.36076"
id="text4616"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4618"
x="-115.15748"
y="195.36076"
style="font-size:18px;font-weight:bold;text-align:center;text-anchor:middle">2.</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4620"
y="319.37653"
x="-115.15748"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:18px;font-weight:bold;text-align:center;text-anchor:middle"
y="319.37653"
x="-115.15748"
id="tspan4622"
sodipodi:role="line">3.</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-115.15748"
y="443.27362"
id="text4624"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4626"
x="-115.15748"
y="443.27362"
style="font-size:18px;font-weight:bold;text-align:center;text-anchor:middle">4.</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="283.46457"
y="71.226364"
id="text4708"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4710"
x="283.46457"
y="71.226364"
style="font-size:18px;font-weight:bold;text-align:center;text-anchor:middle">5.</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4712"
y="195.36076"
x="283.46457"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:18px;font-weight:bold;text-align:center;text-anchor:middle"
y="195.36076"
x="283.46457"
id="tspan4714"
sodipodi:role="line">6.</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="283.46457"
y="319.37653"
id="text4716"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4718"
x="283.46457"
y="319.37653"
style="font-size:18px;font-weight:bold;text-align:center;text-anchor:middle">7.</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4720"
y="443.27362"
x="283.46457"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:18px;font-weight:bold;text-align:center;text-anchor:middle"
y="443.27362"
x="283.46457"
id="tspan4722"
sodipodi:role="line">8.</tspan></text>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="665.78235"
height="298.79001"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="timetag-delay.svg">
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.4"
inkscape:cx="255.07333"
inkscape:cy="46.2021"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
showguides="true"
inkscape:guide-bbox="true">
<inkscape:grid
originy="-191.23925mm"
originx="-6.2425349mm"
spacingy="1mm"
spacingx="1mm"
units="mm"
snapvisiblegridlinesonly="true"
enabled="true"
visible="true"
empspacing="5"
id="grid3004"
type="xygrid" />
</sodipodi:namedview>
<defs
id="defs4">
<marker
style="overflow:visible"
id="TriangleInM"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="TriangleInM">
<path
transform="scale(-0.4,-0.4)"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
id="path3945"
inkscape:connector-curvature="0" />
</marker>
<marker
style="overflow:visible"
id="TriangleOutM"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="TriangleOutM">
<path
inkscape:connector-curvature="0"
transform="scale(0.4,0.4)"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
id="path3939" />
</marker>
<marker
style="overflow:visible"
id="TriangleInMP"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="TriangleInMP">
<path
transform="scale(-0.4,-0.4)"
style="fill:#cccccc;fill-rule:evenodd;stroke:#cccccc;stroke-width:1pt"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
id="path4442"
inkscape:connector-curvature="0" />
</marker>
<marker
style="overflow:visible"
id="TriangleOutMJ"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="TriangleOutMJ">
<path
inkscape:connector-curvature="0"
transform="scale(0.4,0.4)"
style="fill:#cccccc;fill-rule:evenodd;stroke:#cccccc;stroke-width:1pt"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
id="path4445" />
</marker>
</defs>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
transform="translate(-22.119217,-75.952715)"
id="layer1"
inkscape:groupmode="layer"
inkscape:label="Layer 1">
<path
style="fill:none;stroke:#cccccc;stroke-width:4;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
d="m 460.62992,77.952715 0,230.314965"
id="path3799"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3801"
d="m 354.33071,77.952715 0,230.314965"
style="fill:none;stroke:#cccccc;stroke-width:4;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<path
sodipodi:nodetypes="cc"
transform="translate(95.610931,79.465469)"
inkscape:connector-curvature="0"
id="path3779"
d="m 471.3182,-1.5127535 0,230.3149635"
style="fill:none;stroke:#cccccc;stroke-width:4;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<rect
transform="translate(95.610931,79.465469)"
y="-1.5127535"
x="46.121349"
height="230.31496"
width="106.29921"
id="rect3003"
style="fill:#cccccc;fill-opacity:1;fill-rule:evenodd;stroke:none" />
<path
sodipodi:nodetypes="cccccccccccccccccccccccc"
inkscape:connector-curvature="0"
id="path3006"
d="m 106.29921,290.55116 35.43307,0 0,-53.14961 53.14961,0 0,53.14961 53.1496,0 0,-53.14961 53.14961,0 0,53.14961 53.14961,0 0,-53.14961 53.1496,0 0,53.14961 53.14961,0 0,-53.14961 53.14961,0 0,53.14961 53.1496,0 0,-53.14961 53.14961,0 0,53.14961 53.14961,0 -10e-6,-53.14963 14.17323,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path3776"
d="m 106.29921,201.96848 106.29921,0 0,-53.1496 474.80315,-2e-5"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<text
sodipodi:linespacing="125%"
id="text3778"
y="88.582634"
x="244.48819"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan3786"
style="font-size:14px;text-align:end;text-anchor:end"
y="88.582634"
x="244.48819"
sodipodi:role="line">Asynchronous</tspan><tspan
id="tspan3001"
style="font-size:14px;text-align:end;text-anchor:end"
y="106.08263"
x="248.94522"
sodipodi:role="line">pulse </tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3788"
d="m 212.59842,109.8425 0,28.34645"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 248.0315,340.1574 0,-24.80311"
id="path4234"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="248.03149"
y="354.33063"
id="text4236"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="248.03149"
y="354.33063"
id="tspan4238"
style="font-size:14px;text-align:center;text-anchor:middle">Pulse sampled</tspan><tspan
sodipodi:role="line"
x="248.03149"
y="371.83063"
style="font-size:14px;text-align:center;text-anchor:middle"
id="tspan4240">by 8ns clock</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4242"
d="m 566.92913,340.1574 0,-24.80311"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<text
sodipodi:linespacing="125%"
id="text4244"
y="354.33063"
x="566.92914"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4248"
style="font-size:14px;text-align:center;text-anchor:middle"
y="354.33063"
x="566.92914"
sodipodi:role="line">Time-tag stored</tspan><tspan
id="tspan4252"
style="font-size:14px;text-align:center;text-anchor:middle"
y="371.83063"
x="566.92914"
sodipodi:role="line">in ring buffer</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3781"
y="168.41125"
x="21.259842"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:20px;font-weight:bold"
y="168.41125"
x="21.259842"
id="tspan3783"
sodipodi:role="line">Pulse</tspan><tspan
style="font-size:20px;font-weight:bold"
id="tspan3785"
y="193.41125"
x="21.259842"
sodipodi:role="line">input</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="21.259842"
y="258.75662"
id="text3787"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="21.259842"
y="258.75662"
id="tspan3791"
style="font-size:20px;font-weight:bold">8ns </tspan><tspan
id="tspan3795"
sodipodi:role="line"
x="21.259842"
y="283.75662"
style="font-size:20px;font-weight:bold">clock</tspan></text>
<path
transform="translate(36.292442,77.452716)"
inkscape:connector-curvature="0"
id="path3803"
d="m 328.66819,120.9724 85.03937,0"
style="fill:none;stroke:#cccccc;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInMP);marker-end:url(#TriangleOutMJ)"
sodipodi:nodetypes="cc" />
<g
id="g4519"
transform="translate(-6.4697266e-6,74.215784)">
<g
id="g4524"
transform="translate(2.454367,0)">
<rect
style="fill:#ffffff;fill-opacity:1;stroke:none"
id="rect4517"
width="49.606293"
height="21.259842"
x="346.38474"
y="36.126694"
transform="translate(36.292442,77.452716)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="387.30942"
y="131.29594"
id="text4513"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4515"
x="387.30942"
y="131.29594"
style="font-size:20px;font-weight:bold;fill:#cccccc;fill-opacity:1">8ns</tspan></text>
</g>
</g>
</g>
</svg>
\subsection{MultiBoot controller}
\label{app:multiboot-regs}
Base address: 0x100
{
\rowcolors{2}{white}{gray!25}
\begin{longtable}{l l l p{.5\textwidth}}
\hline
\textbf{Offset} & \textbf{Reset} & \textbf{Name}
& \textbf{Description} \\
\hline
\endfirsthead
\hline
\hline
\endhead
\hline
\endfoot
0x0 & 0x00000000 & CR & Control Register\\
0x4 & 0x00000000 & SR & Status Register\\
0x8 & 0x00000000 & GBBAR & Golden Bitstream Base Address Register\\
0xc & 0x00000000 & MBBAR & MultiBoot Bitstream Base Address Register\\
0x10 & 0x10000000 & FAR & Flash Access Register\\
\end{longtable}
}
\vspace{11pt}
\subsubsection{CR -- Control Register}
\label{app:multiboot-regs-cr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}IPROG} & \multicolumn{1}{|c|}{\cellcolor{gray!25}IPROG\_UNLOCK}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{1}{|c}{-} & \multicolumn{1}{|c|}{\cellcolor{gray!25}RDCFGREG} & \multicolumn{6}{|c|}{\cellcolor{gray!25}CFGREGADR[5:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
CFGREGADR
} [\emph{read/write}]: Configuration register address
\\
Address of FPGA configuration register to read.
\end{small}
\item \begin{small}
{\bf
RDCFGREG
} [\emph{write-only}]: Read FPGA configuration register
\\
1 -- Start FPGA configuration register sequence. \\ 0 -- No effect.
\end{small}
\item \begin{small}
{\bf
IPROG\_UNLOCK
} [\emph{read/write}]: Unlock bit for the IPROG command
\\
1 -- Unlock IPROG bit. \\ 0 -- No effect.
\end{small}
\item \begin{small}
{\bf
IPROG
} [\emph{read/write}]: Start IPROG sequence
\\
1 -- Start IPROG configuration sequence \\ 0 -- No effect \\ This bit needs to be unlocked by writing the IPROG\_UNLOCK bit first. \\ A write to this bit with IPROG\_UNLOCK cleared has no effect.
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{SR -- Status Register}
\label{app:multiboot-regs-sr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}WDTO} & \multicolumn{1}{|c|}{\cellcolor{gray!25}IMGVALID}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CFGREGIMG[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CFGREGIMG[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
CFGREGIMG
} [\emph{read-only}]: Configuration register image
\\
Image of the FPGA configuration register at address CFGREGADR (see Configuration Registers section in Xilinx UG380~\cite{ug380}); validated by IMGVALID bit
\end{small}
\item \begin{small}
{\bf
IMGVALID
} [\emph{read-only}]: Configuration register image valid
\\
1 -- CFGREGIMG valid \\ 0 -- CFGREGIMG not valid;
\end{small}
\item \begin{small}
{\bf
WDTO
} [\emph{read/write}]: MultiBoot FSM stalled at one point and was reset by FSM watchdog
\\
1 -- FSM watchdog fired \\ 0 -- FSM watchdog has not fired
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{GBBAR -- Golden Bitstream Base Address Register}
\label{app:multiboot-regs-gbbar}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
BITS
} [\emph{read/write}]: Bits of GBBAR register
\\
31..24 -- Read or fast-read OPCODE of the flash chip (obtain it from the flash chip datasheet) \\ 23..0 -- Golden bitstream address in flash
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{MBBAR -- MultiBoot Bitstream Base Address Register}
\label{app:multiboot-regs-mbbar}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
BITS
} [\emph{read/write}]: Bits of MBBAR register
\\
31..24 -- Read or fast-read OPCODE of the flash chip (obtain it from the flash chip datasheet) \\ 23..0 -- MultiBoot bitstream start address in flash
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{FAR -- Flash Access Register}
\label{app:multiboot-regs-far}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}READY} & \multicolumn{1}{|c|}{\cellcolor{gray!25}CS} & \multicolumn{1}{|c|}{\cellcolor{gray!25}XFER} & \multicolumn{2}{|c|}{\cellcolor{gray!25}NBYTES[1:0]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}DATA[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}DATA[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}DATA[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
DATA
} [\emph{read/write}]: Flash data field
\\
23..16 -- DATA[2]; after an SPI transfer, this register contains the value of data byte 2 read from the flash \\ 15..8 -- DATA[1]; after an SPI transfer, this register contains the value of data byte 1 read from the flash \\ 7..0 -- DATA[0]; after an SPI transfer, this register contains the value of data byte 0 read from the flash
\end{small}
\item \begin{small}
{\bf
NBYTES
} [\emph{read/write}]: Number of DATA fields to send and receive in one transfer:
\\
0x0 -- Send 1 byte (DATA[0]) \\ 0x1 -- Send 2 bytes (DATA[0], DATA[1]) \\ 0x2 -- Send 3 bytes (DATA[0], DATA[1], DATA[2])
\end{small}
\item \begin{small}
{\bf
XFER
} [\emph{write-only}]: Start transfer to and from flash
\\
1 -- Start transfer \\ 0 -- Idle
\end{small}
\item \begin{small}
{\bf
CS
} [\emph{read/write}]: Chip select bit
\\
1 - Flash chip selected (CS pin low) \\ 0 - Flash chip not selected (CS pin is high)
\end{small}
\item \begin{small}
{\bf
READY
} [\emph{read-only}]: Flash access ready
\\
1 - Flash access completed \\ 0 - Flash access in progress
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
......@@ -15,12 +15,12 @@
@misc{conv-ttl-rs485-hdlg,
title = {{CONV-TTL-RS485 HDL Guide on OHWR}},
howpublished = {\url{http://www.ohwr.org/documents/353}}
howpublished = {\url{http://www.ohwr.org/documents/389}}
}
@misc{conv-ttl-rs485-sch,
title = {{CONV-TTL-RS485 on CERN EDMS}}},
howpublished = {\url{https://edms.cern.ch/nav/P:EDA-02541:V0/I:EDA-02541-V1-0:V0/TAB4}}
title = {{CONV-TTL-RS485 on CERN EDMS}},
howpublished = {\url{https://edms.cern.ch/nav/EDA-02541}}
}
@misc{sysmon-i2c,
......@@ -31,13 +31,10 @@
@article{rs485-fs,
title = {Detection of {RS}-485 signal loss},
url = {http://www.tij.co.jp/jp/lit/an/slyt257/slyt257.pdf},
number = {4Q},
urldate = {2014-08-06},
journal = {Texas instruments Appl. Note Literature},
author = {Gingerich, Kevin},
year = {2006},
file = {Detection of RS-485 signal loss - slyt257.pdf:/home/tstana/.mozilla/firefox/ao4zesfb.default/zotero/storage/4SHSRX25/slyt257.pdf:application/pdf}
number = {4Q},
year = {2006}
}
@misc{rtm-det,
......
......@@ -78,7 +78,8 @@ work, see \\
12-08-2014 & 1.0 & First release, after small error corrections (\textit{writereg} instead of \textit{readreg}
in Section~\ref{sec:diag-remote-reset}, and typo in Section~\ref{sec:reprog-bitstreams}), and
addition of how to read gateware version in Section~\ref{sec:reprog-bitstreams} \\
22-01-2015 & 1.1 & \textcolor{red}{\textbf{WHAT?}} \\
22-01-2015 & 2.0 & Added changes for V3 front module boards, sections on advanced diagnostics and
referred to gateware guide for specific gateware versions in the Memory Map Appendix \\
\hline
\end{tabular}
}
......@@ -89,6 +90,8 @@ work, see \\
\pagebreak
\pdfbookmark[1]{\contentsname}{toc}
\tableofcontents
\pagebreak
\listoffigures
\listoftables
......@@ -165,9 +168,6 @@ CONV-TTL-RTM-RS485 piggyback board, as shown in Figure~\ref{fig:conv-sys-bd}.
In this case, a pulse on a channel's input on any side (TTL on the FM side or RS-485 on the
RTM side) is replicated on both the FM and the RTM outputs for the channel.
This document presents various details about the CONV-TTL-RS485 board. It is based
on gateware release v0.0 (see the gateware releases page~\cite{conv-ttl-rs485-gw-releases}).
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/conv-sys-bd}}
\caption{\label{fig:conv-sys-bd} Converter system block diagram}
......@@ -195,7 +195,7 @@ Two panels exist in the context of the RS-485 pulse repeater boards. The first o
\textit{front panel}, which corresponds to FM boards, contains various connectors
for TTL-level pulses and the SFP connector, as well as various status LEDs. The second is
the \textit{rear panel}, located on the other side of the VME backplane and corresponding
to the RTM boards. The rear panel offers RS-485 LEMO0 connectors and status
to the RTM boards. The rear panel offers RS-485 LEMO0S connectors and status
LEDs for pulse replication confirmation.
%--------------------------------------------------------------------------------------
......@@ -260,12 +260,11 @@ outputs can be either TTL or TTL-BAR, as selected by the TTL switch (SW2.4, see
Section~\ref{sec:pulse-ttl-vs-ttlbar}).
A simplified diagram of pulse repetition is shown in Figure~\ref{fig:ttl-chan},
more details can be found in Section~\ref{sec:pulse-rep}.
If a TTL (TTL-BAR) pulse arrives on a channel input, it is regenerated on the output of
the same channel in TTL (TTL-BAR), as well as the RS-485 outputs of the same channel on the
rear panel, if an RTM board with an attached RS-485 piggyback is present. Similarly, if an
RS-485 pulse arrives on the rear panel, it is replicated on the TTL output channel.
more details can be found in Section~\ref{sec:pulse-rep}. If a TTL (TTL-BAR) pulse arrives
on a channel input, it is regenerated on the output of the same channel in TTL (TTL-BAR),
as well as the RS-485 outputs of the same channel on the rear panel, if an RTM board with
an attached RS-485 piggyback is present. Similarly, if an RS-485 pulse arrives on the rear
panel, it is replicated on the TTL output channel.
Each TTL replication channel has a pulse LED which flashes shortly whenever a pulse is replicated
on the channel.
......@@ -386,12 +385,12 @@ one position or the other yields a selection valid for all six pulse replication
\pagebreak
General-purpose switches not listed in Table~\ref{tbl:switches} (GP\_1 through GP\_4)
perform no particular function, but their setting is reflected in the status register
(SR -- see Appendix~\ref{app:conv-regs-sr}).
(SR -- see Appendix~\ref{app:memmap}).
The multicast switches shown in Figure~\ref{fig:switches} can be used to select a
multicast address for the board. At the moment, no particular functionality is defined
in this respect, but setting a switch to ON is reflected in the Other Switches
Register~(OSWR -- see Appendix~\ref{app:conv-regs-mwsr}).
in this respect, but setting a switch to ON is reflected in the other switches
register~(OSWR -- see Appendix~\ref{app:memmap}).
The status of all on-board switches can be read from status registers; for more information
see Section~\ref{sec:diag-sw-rtmdet}.
......@@ -449,7 +448,7 @@ the FPGA. The figure also shows the shape of the different types of pulse signal
pass through a part of the circuit. The grey DC signals are the signals when no wire is
plugged into a channel. Each channel has a dedicated logic block as that in Figure~\ref{fig:pulse-rep}.
\begin{figure}[b]
\begin{figure}[h]
\centerline{\includegraphics[width=1.1\textwidth]{fig/pulse-rep}}
\caption{Pulse repetition mechanism}
\label{fig:pulse-rep}
......@@ -475,14 +474,22 @@ the 100~${\mu}s$ until disabling the line with no signal on it, a pulse will be
due to the high state of the line. For this reason, the \textit{first pulse inhibit} block has
been implemented, which keeps the line disabled for an extra 100~${\mu}s$ after reset. Note
that the block is only active for 100~${\mu}s$ after reset, no pulses will be rejected should the
cable be removed. \textbf{It is for this reason that a pulse will be generated
cable be removed.
\textbf{It is for this reason that a pulse will be generated
whenever the cable is removed when the board is in TTL-BAR repetition mode.}
A glitch filter may be optionally selected by means of the glitch filter switch. The glitch
filter is enabled by the \textit{glitch filter} general-purpose switch~\ref{fig:switches}.
The glitch filter ignores signals with a width shorter than 50~ns, but also introduces
\pagebreak
A glitch filter may be optionally selected by means of the glitch filter switch (Figure~\ref{fig:switches}).
When enabled, the glitch filter ignores signals with a width shorter than 50~ns, but also introduces
a 50~ns jitter on the leading edge, due to the fact it is sampling the line with a 20~MHz clock.
Finally, on FPGA gateware versions which are not golden gateware, there is extra logic
that performs pulse counting and time-tagging. More information about pulse counters
can be found in Section~\ref{sec:diag-pulse-cnt}, and about time-tagging in Section~\ref{sec:diag-pulse-timetag}.
Pulses can also be manually triggered on CONV-TTL-RS485 outputs. More information on
this can be found in Section~\ref{sec:diag-man-trig}.
%======================================================================================
% SEC: Communicating to the CONV-TTL-RS485
%======================================================================================
......@@ -497,7 +504,8 @@ In order to connect to a CONV-TTL-RS485 board in an ELMA VME crate, a higher-lev
protocol based on I$^2$C is defined \cite{sysmon-i2c}. The protocol uses the serial
lines on the VME P1 connector (\textit{SERCLK}, \textit{SERDAT}). By this protocol,
2$^{12}$ (12 address bits) 32-bit registers can be read from or written to byte by byte.
A complete memory map for accessible registers can be found in Appendix~\ref{app:memmap}.
A complete memory map for accessible registers can be found in the gateware guide for the
specific gateware version being run (see Appendix~\ref{app:memmap}).
The user can access the CONV-TTL-RS485 registers via either Telnet or SNMP. First, a connection
is made to the VME crate using either of these two protocols. Then, based on the protocol, commands
......@@ -519,8 +527,7 @@ All the examples below were tried on a Ubuntu Linux computer.
\label{sec:comm-telnet}
The first method to access registers on the CONV-TTL-RS485 via the I$^2$C interface is via
a command-line based interface. This can be accessed via Telnet, through which commands
can be sent. Three Telnet commands (see Table~\ref{tbl:cmds}) can be used to transfer
a Telnet-based command-line interface. Three Telnet commands (see Table~\ref{tbl:cmds}) can be used to transfer
data to the board. As names suggest, \textit{readreg} reads a board register, whereas
\textit{writereg} and \textit{writemregs} write to a board register.
......@@ -548,7 +555,7 @@ data to the board. As names suggest, \textit{readreg} reads a board register, w
An example of retrieving the CONV-TTL-RS485 ID of a CONV-TTL-RS485 plugged into VME slot 2 of the crate
\textit{some-crate} is given below. The converter board ID can be retrieved from the board ID
register at address \textbf{0x000} (BIDR -- see Appendix~\ref{app:conv-regs-bidr}),
register at address \textbf{0x000} (BIDR -- see Appendix~\ref{app:memmap}),
if the board is present in slot 2, the command should yield the ASCII string \textbf{T485}.
\begin{verbatim}
......@@ -641,7 +648,7 @@ Figure~\ref{fig:oid}.
\end{figure}
To obtain register index values from register addresses as specified in
Appendix~\ref{app:memmap}, the following formula should be used:
the memory map (see Appendix~\ref{app:memmap}), the following formula should be used:
\begin{center}
$reg. index = \frac{addr}{4} + 1$
......@@ -676,11 +683,11 @@ to access the registers. The I$^2$C slave also implements a watchdog timer that
resets the slave logic if the communication takes too long to complete.
Currently, the timeout is set to 24~ms. Should the timeout occur, a system error bit is set
in the board status register (SR -- see Appendix~\ref{app:conv-regs-sr}) and the
in the board status register (SR -- see Appendix~\ref{app:memmap}) and the
\textit{ERR} bicolor LED is lit red.
%==============================================================================
% SEC: Diagonstics
% SEC: Diagnostics
%==============================================================================
\pagebreak
\section{Diagnostics}
......@@ -690,17 +697,6 @@ This section details the remote diagnostics features implemented via the logic
inside the FPGA on-board the CONV-TTL-RS485. Diagnostics data about a CONV-TTL-RS485
can be obtained via the I$^2$C interface detailed in Section~\ref{sec:comm}.
The following diagnostics features are implemented on the CONV-TTL-RS485:
\begin{itemize}
\item converter board identification
\item reading of the FPGA gateware version
\item reading the state of the lines at board input
\item reading the state of the on-board switches
\item reading the state of the RTM detection lines
\item remotely reset the FPGA logic
\end{itemize}
%------------------------------------------------------------------------------
% SUBSEC: Board ID
%------------------------------------------------------------------------------
......@@ -708,7 +704,7 @@ The following diagnostics features are implemented on the CONV-TTL-RS485:
\label{sec:diag-bid}
All converter boards have a board identification register (BIDR -- see
Appendix~\ref{app:conv-regs-bidr}) at address \textbf{0x000}. This register is
Appendix~\ref{app:memmap}) at address \textbf{0x000}. This register is
a read-only 32-bit register containing the hex values for the ASCII code
describing the functionality of the converter board.
......@@ -722,10 +718,16 @@ for TTL-to-RS485 converter.
\label{sec:diag-gwvers}
The gateware version can be read from the least significant eight bits of the
status register (SR -- see Appendix~\ref{app:conv-regs-sr}). The gateware version
is split into major and minor version numbers. Both numbers are decimal numbers.
The major version number increments on major changes in the gateware, such as
the implementation of new blocks. The minor version increments on bug fixes.
status register (SR -- see Appendix~\ref{app:memmap}). The gateware version
is split into major and minor version numbers (Figure~\ref{fig:gwvers}).
Both numbers are decimal numbers. The major version number increments on major
changes in the gateware, such as the implementation of new blocks. The minor
version increments on small bug fixes.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/gwvers}}
\caption{\label{fig:gwvers} Gateware version field in the status register}
\end{figure}
%------------------------------------------------------------------------------
% SUBSEC: Line state
......@@ -733,13 +735,13 @@ the implementation of new blocks. The minor version increments on bug fixes.
\subsection{Line state}
\label{sec:diag-line-state}
The line state can be read from the Line Status Register (LSR -- see Appendix~\ref{app:conv-regs-lsr}).
The line state can be read from the Line Status Register (LSR -- see Appendix~\ref{app:memmap}).
Each bit corresponding to an input line state reflects the state at the board
input, prior to inputting the signal to the Schmitt trigger.
In addition, the state of the no signal detect logic for RS-485 circuitry is
also reflected in the LSR.
\begin{figure}
\begin{figure}[h]
\centerline{\includegraphics[width=.8\textwidth]{fig/line-stat}}
\caption{\label{fig:line-stat} Line state mapping in the LSR}
\end{figure}
......@@ -751,7 +753,7 @@ also reflected in the LSR.
\label{sec:diag-sw-rtmdet}
The state of the on-board switches and that of the RTM detection lines can
also be read from the SR (see Appendix~\ref{app:conv-regs-sr}).
also be read from the SR (see Appendix~\ref{app:memmap}).
An active-high logic has been selected for both the switches and the RTM
detection lines~\cite{rtm-det}, therefore if a switch is on, or an RTM line is active,
its corresponding bit in the SR is set high.
......@@ -766,14 +768,203 @@ its corresponding bit in the SR is set high.
\caption{\label{fig:sr-switches} Switch mapping to the SR}
\end{figure}
%------------------------------------------------------------------------------
% SUBSEC: Pulse counters
%------------------------------------------------------------------------------
\subsection{Pulse counters}
\label{sec:diag-pulse-cnt}
\begin{tabular}{p{.96\textwidth}}
\hline
\large \hspace*{22pt} Not implemented in golden gateware versions \\
\hline
\end{tabular}
\vspace*{11pt}
One channel is allocated on the input of each counter, after the OR gate preceding
the pulse generator. The input counter logic, which is repeated on each channel,
is shown in Figure~\ref{fig:pulse-cnt}. On a rising edge of a pulse from either a
TTL or an RS-485 input, the pulse counter is incremented and stored to the channel's
pulse counter register (CHxPCR -- see Appendix~\ref{app:memmap}). The CHxPCR is a
read-write register that can be written at any time via I$^2$C with a user-defined value.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/pulse-cnt}}
\caption{Channel pulse counter diagram}
\label{fig:pulse-cnt}
\end{figure}
%------------------------------------------------------------------------------
% SUBSEC: Pulse counters
%------------------------------------------------------------------------------
\subsection{Pulse time-tagging}
\label{sec:diag-pulse-timetag}
\begin{tabular}{p{.96\textwidth}}
\hline
\large \hspace*{22pt} Not implemented in golden gateware versions \\
\hline
\end{tabular}
\vspace*{11pt}
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/timetag-arch}}
\caption{Time-tagging architecture}
\label{fig:timetag-arch}
\end{figure}
The architecture of the time-tagging mechanism is shown in Figure~\ref{fig:timetag-arch}.
Every time a pulse arrives on a channel, a timetag sample is stored to a 128-deep
ring buffer. While this ring buffer is not entirely accessible in the addressing
space of the FPGA, it can be read sample by sample by reading the TBCYR, TBL/HR and
TBMR registers (see Appendix~\ref{app:memmap}). At the same time the timestamp is
stored into the time-tag buffer, it is also stored to the latest timestamp registers
(CHxLTS*R -- see Appendix~\ref{app:memmap}).
%------------------------------------------------------------------------------
\subsubsection{Pulse timetags}
%------------------------------------------------------------------------------
Two timetags are available on the CONV-TTL-RS485. These timetags are listed in
Table~\ref{tbl:timetags}. When White-Rabbit (WR) is present, the precise centralized
and synchronized timing from the WR network is used. When WR is not present, the local
time tag is used. Note that due to the fact that this timetag is unsynchronized,
it will differ slightly between two different boards in a crate. Each timetag sample
consists of a cycles value, which counts 8~ns cycles, and a TAI seconds value.
\begin{table}[h]
\caption{Timetag types}
\label{tbl:timetags}
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l c p{.65\textwidth}}
\hline
\multicolumn{1}{c}{\textbf{Type}} & \multicolumn{1}{c}{\textbf{Resolution}}
& \multicolumn{1}{c}{\textbf{Description}} \\
\hline
WR & 8~ns & \textbf{\textit{Currently not implemented}} \newline
Precise, centralized and synchronized timetag \newline
Obtained and configurable via WR \\
Local & 8~ns & Local, unsynchronized timetag \newline
Obtained by counting the ticks of a 125~MHz clock signal \newline
Configurable by writing the TAI value registers (TVL/HR) \\
\hline
\end{tabular}
}
\end{table}
%------------------------------------------------------------------------------
\subsubsection{Timetag storage delay}
%------------------------------------------------------------------------------
Due to the internal logic of the FPGA, there is a delay of three to four 8~ns cycles
between the moment when a pulse arrives on the channel input and the moment when
a timetag is stored to the ring buffer (Figure~\ref{fig:timetag-delay}).
\begin{figure}[h]
\centerline{\includegraphics[width=.78\textwidth]{fig/timetag-delay}}
\caption{Timetag storage delay}
\label{fig:timetag-delay}
\end{figure}
%------------------------------------------------------------------------------
\subsubsection{Reading the timetags from the ring buffer}
%------------------------------------------------------------------------------
The TBCYR, TBTLR and TBTHR (see Appendix~\ref{app:memmap}) contain the actual
timetag values; the TBMR qualifies these timetag values with meta data such as
which channel triggered the timetag sample and whether a timestamp comes from WR
or from the local timer.
These registers always point to the oldest timetag in the ring buffer, and
reading them returns subsequent timetags in the buffer.
In order to obtain the correct timetags from the ring buffer, the read sequence
shown in Table~\ref{tbl:timetag-rd-seq} should be performed. This sequence should be
performed as long as the buffer is not empty (the first step is true).
For more details on the ring buffer, see the next subsection.
\setcounter{rownr}{0}
\begin{table}[h]
\caption{Timetag read sequence}
\label{tbl:timetag-rd-seq}
\centerline {
\rowcolors{2}{white}{gray!25}
\begin{tabular}{c p{.8\textwidth}}
\hline
\textbf{Step} & \multicolumn{1}{c}{\textbf{Action}} \\
\hline
\rownumber & \textbf{Read the TBCSR} and make sure that the EMPTY bit is not '1' \\
\rownumber & \textbf{Read the TBCYR} to obtain the 8~ns cycles counter value \\
\rownumber & \textbf{Read the TBTLR} to obtain the lower part of the TAI seconds counter \\
\rownumber & \textbf{Read the TBTHR} to obtain the upper part of the TAI seconds counter \\
\rownumber & \textbf{Read the TBTMR} to obtain the meta data about the timetag sample and advance
the buffer read pointer \\
\hline
\end{tabular}
}
\end{table}
%------------------------------------------------------------------------------
\subsubsection{Ring buffer mechanics}
%------------------------------------------------------------------------------
The 128-deep ring buffer implemented in the FPGA gateware contains a read and a write
pointer, each of which advances on a read from and respectively a write to the buffer.
If the buffer is not empty, the read pointer points to the oldest timetag stored in the
buffer, while the write pointer points to the next buffer location to be written.
Figure~\ref{fig:timetag-buf-mech} shows the operation of the ring buffer.
Every time a pulse arrives on an input channel, a timetag is stored to the ring buffer
and the write pointer of the ring buffer is advanced. When the write pointer reaches the
read pointer, the buffer is full and subsequent writes will overwrite previously written
data, while the read pointer is advanced together with the write pointer.
\begin{figure}[h]
\centerline{\includegraphics[width=1.1\textwidth]{fig/timetag-buf-mech}}
\caption{Ring buffer mechanics, example with four-sample-deep buffer}
\label{fig:timetag-buf-mech}
\end{figure}
To read from the buffer, the user reads the TBCYR, TBTLR, TBTHR and TBMR (see Appendix~\ref{app:memmap}).
Reading the TBTMR register advances the read pointer of the buffer, if the buffer is not empty. If the
buffer is empty, the read pointer of the buffer is not advanced.
Note that due to the fact that a read from the TBMR advances the read pointer, the TBMR
should be the last register read in a read sequence. Otherwise, the read pointer is advanced
and the contents of the TBMR will correspond to one sample in the ring buffer, while
the contents of the TBCYR and TBH/LR will be that of another sample.
The TBCSR (see Appendix~\ref{app:memmap}) contains bits that allow to check the
status and clear the buffer. The USEDW field in this register contains the current number
of samples written to the buffer. When the buffer is full, the USEDW field is 0 and the
FULL bit is set. Setting the CLR bit of the TBCSR will bring the read and write pointers
to 0 and set the USEDW field to 0.
%------------------------------------------------------------------------------
\subsubsection{Latest timestamp registers}
%------------------------------------------------------------------------------
There are three registers per channel dedicated to the storage of the latest timestamp.
These registers always store the latest time at which a pulse arrived on a channel and
can be useful when other channels fill up the ring buffer before a relevant timestamp
is read.
%------------------------------------------------------------------------------
% SUBSEC: Remote reset
%------------------------------------------------------------------------------
\pagebreak
\subsection{Remote reset}
\label{sec:diag-remote-reset}
The user can remotely reset the FPGA logic inside the CONV-TTL-RS485 by writing to
the board's control register at address \textbf{0x008} (see Appendix~\ref{app:conv-regs-cr})
the board's control register at address \textbf{0x008} (see Appendix~\ref{app:memmap})
to first unlock the RST bit and then write it high to initiate the reset. When the
reset is initiated, a 100~ms reset pulse is applied to the logic.
......@@ -804,21 +995,76 @@ iso.3.6.1.4.1.37968.1.1.8.2.2.1.2.3 = STRING: "1"
iso.3.6.1.4.1.37968.1.1.8.2.2.1.2.3 = STRING: "2"
\end{verbatim}
Note that the backslash in the command above is not needed, it is just added
here for formatting reasons and to keep to the rules of the Linux command-line.
%------------------------------------------------------------------------------
% SUBSEC: Manual trigger
%------------------------------------------------------------------------------
\subsection{Manual pulse triggering}
\label{sec:diag-man-trig}
\begin{tabular}{p{.96\textwidth}}
\hline
\large \hspace*{22pt} Not implemented in golden gateware versions \\
\hline
\end{tabular}
\vspace*{11pt}
It is possible to remotely trigger a pulse on a channel of choice. This feature
should only be used when debugging the connection between two CONV-TTL-RS485 boards,
or between a CONV-TTL-RS485 and another receiver. This is why manual pulse
triggering is password-protected.
In order to manually trigger a pulse, the user should write five bytes to the board's control
register at address \textbf{0x004} (CR -- see Appendix~\ref{app:memmap}), as shown in
Table~\ref{tbl:man-trig}. The MPT field is dual-purpose, as shown in
Figure~\ref{fig:cr-mpt}. Until the magic sequence is input, it should be written with the
bytes in the magic sequence. After that, it should be written with the channel number.
Figure~\ref{fig:man-trig-fsm} shows the manual pulse triggering logic. In order for a
pulse to be triggered, five write cycles to the MPT field must be done. If the fifth cycle is
a channel number in the range 1..6, one and only one pulse with a pulse width of 1.2~$\mu$s is
generated. After that, should it be desired to generate another pulse on the channel, the magic
sequence must be input again. If the channel number is not in the range 1..6, no pulse is generated
on any channel and no error is reported.
\begin{figure}[h]
\centerline{\includegraphics[width=.97\textwidth]{fig/cr-mpt}}
\caption{MPT field in the CR}
\label{fig:cr-mpt}
\end{figure}
\begin{table}[h]
\caption{Write sequence to initiate manual pulse triggering}
\label{tbl:man-trig}
\centerline
{
%\rowcolors{2}{white}{gray!25}
\begin{tabular}{c c c c c}
\hline
\textbf{Byte 0} & \textbf{Byte 1} & \textbf{Byte 2} & \textbf{Byte 3} & \textbf{Byte 4}\\
\hline
0xde & 0xad & 0xbe & 0xef & Channel number in range 1..6 \\
\hline
\end{tabular}
}
\end{table}
\begin{figure}[h]
\centerline{\includegraphics[width=.55\textwidth]{fig/man-trig-fsm}}
\caption{Manual pulse triggering logic}
\label{fig:man-trig-fsm}
\end{figure}
%------------------------------------------------------------------------------
% SUBSEC: System Errors
%------------------------------------------------------------------------------
\pagebreak
\subsection{System errors}
\label{sec:diag-syserr}
%Various system errors are defined on converter boards. When such an error occurs,
%the ERR LED on the front panel lights up in \textit{red}. At this point, the user
%should connect to the converter board to see exactly what caused the system error.
%
%The system errors defined for the CONV-TTL-RS485, together with the relevant bits
%set when the error occurs, are shown in Table~\ref{tbl:syserr}.
The system errors that can occur are shown in Table~\ref{tbl:syserr}. When such an error occurs,
the ERR LED on the front panel lights up in \textit{red}. At this point, the user
should connect to the converter board to see the cause of the error.
\begin{table}[h]
\caption{System errors on the CONV-TTL-RS485}
......@@ -826,11 +1072,14 @@ here for formatting reasons and to keep to the rules of the Linux command-line.
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l l p{.5\textwidth}}
\begin{tabular}{p{.25\textwidth} l p{.5\textwidth}}
\hline
\multicolumn{1}{c}{\textbf{Error}} & \multicolumn{1}{c}{\textbf{Register}} &
\multicolumn{1}{c}{\textbf{Description}} \\
\hline
Fallback to golden bitstream & SR.GWVERS & Error when booting from application bitstream,
fallback to golden bitstream occured
(see Section~\ref{sec:reprog-bitstreams}) \\
I$^2$C timeout & SR.I2C\_WDTO & An I$^2$C transfer is not completed
within 24~ms (see Section~\ref{sec:comm-timeout}) \\
I$^2$C error & SR.I2C\_ERR & Attempted to access a non-memory-mapped address via I$^2$C \\
......@@ -955,7 +1204,7 @@ if a new application bitstream is correctly loaded to the CONV-TTL-RS485 flash c
a power-cycle will be needed to run this new bitstream.
To detect which bitstream is currently running, read the GWVERS field in the
board's status register (SR -- see Appendix~\ref{app:conv-regs-sr}).
board's status register (SR -- see Appendix~\ref{app:memmap}).
%--------------------------------------------------------------------------------------
% SUBSEC: Don't program bitstreams w/o the ICAP
......@@ -1068,75 +1317,20 @@ on front panel channel 6.
\section{Memory map}
\label{app:memmap}
Table~\ref{tbl:memmap} shows the complete memory map of the gateware. The
following sections list the memory map of each peripheral.
In order to convert address values to register index values for SNMP access,
the following formula should be used:
The CONV-TTL-RS485 can operate with different gateware versions, as described in
Section~\ref{sec:reprog-bitstreams}. These gateware versions may differ in their memory
map, so to keep this user guide generic, the reader is referred to the gateware guide
for the specific gateware version.
\begin{center}
$reg. index = \frac{addr}{4} + 1$
\end{center}
To obtain the gateware guide for a specific version, the following steps should be performed:
\begin{table}[h]
\caption{\textit{conv\_common\_gw} memory map}
\label{tbl:memmap}
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l l l p{.4\textwidth}}
\hline
\multicolumn{1}{c}{\textbf{Peripheral}} & \multicolumn{2}{c}{\textbf{Address range}} & \multicolumn{1}{c}{\textbf{Description}} \\
\hline
Board registers & 0x000 & 0x0ff & Coverter board registers \\
MultiBoot & 0x100 & 0x110 & MultiBoot module \\
SDB descriptor & 0xf00 & 0xfff & SDB descriptor (see~\cite{sdb}) \\
\hline
\end{tabular}
}
\end{table}
%%------------------------------------------------------------------------------
%% SUBSEC: conv_regs
%%------------------------------------------------------------------------------
\include{conv-regs}
%------------------------------------------------------------------------------
% SUBSEC: MultiBoot
%------------------------------------------------------------------------------
\include{multiboot-regs}
%%------------------------------------------------------------------------------
%% SUBSEC: Thermo
%%------------------------------------------------------------------------------
%\subsection{Thermometer module}
%\label{app:memmap-thermo}
%
%\indent Base address: 0x080
%
%\vspace*{11pt}
%
%\centerline
%{
% \rowcolors{2}{white}{gray!25}
% \begin{tabular}{l l l p{.5\textwidth}}
% \hline
% \textbf{Offset} & \textbf{Default} & \textbf{Name} & \textbf{Description} \\
% \hline
% 0x00 & 0x00000000 & OWCSR & One-Wire Control and Status Register \\
% 0x04 & 0x00000004 & OWCDR & One-Wire Clock Divider Registers \\
% \hline
% \end{tabular}
%}
%
%\vspace*{11pt}
%
%For details on the bits of the thermometer module access registers, see the
%OneWire Master module's documentation~\cite{onewire-core}.
%
%Note that the OWCDR should be set accordingly for proper functioning of the
%one-wire timings. The value for the current version of the gateware is
%\verb-OWCDR = 0x00130063-.
\begin{enumerate}
\item Connect to the ELMA crate where the CONV-TTL-RS485 is placed (Section~\ref{sec:comm})
\item Retrieve the gateware version from the board's status register (Section~\ref{sec:diag-gwvers})
\item Navigate to the CONV-TTL-RS485 gateware releases webpage on OHWR~\cite{conv-ttl-rs485-gw-releases}
\item Download the gateware guide for the gateware version retrieved two steps back
\item Consult the memory map appendix at the end of the document
\end{enumerate}
%======================================================================================
\end{appendices}
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment