Commit 2972599a authored by unknown's avatar unknown

Merge branch 'hw-v4'

parents f2a158f7 647093ea
FILE=hwg-conv-ttl-rs485
all:
$(MAKE) -C fig
pdflatex -synctex=1 -interaction=nonstopmode $(FILE).tex *.tex
bibtex $(FILE).aux
pdflatex -synctex=1 -interaction=nonstopmode $(FILE).tex *.tex
pdflatex -synctex=1 -interaction=nonstopmode $(FILE).tex *.tex
evince $(FILE).pdf &
clean:
$(MAKE) -C fig clean
rm -rf *.aux *.dvi *.log $(FILE).pdf *.lof *.lot *.out *.toc *.bbl *.blg *.gz
Type 'make' to create your .pdf documentation file.
You need Inkscape to make the documentation files:
sudo apt-get install inkscape
\ No newline at end of file
\relax
\providecommand\hyper@newdestlabel[2]{}
\@setckpt{cern-title}{
\setcounter{page}{1}
\setcounter{equation}{0}
\setcounter{enumi}{0}
\setcounter{enumii}{0}
\setcounter{enumiii}{0}
\setcounter{enumiv}{0}
\setcounter{footnote}{0}
\setcounter{mpfootnote}{0}
\setcounter{part}{0}
\setcounter{section}{0}
\setcounter{subsection}{0}
\setcounter{subsubsection}{0}
\setcounter{paragraph}{0}
\setcounter{subparagraph}{0}
\setcounter{figure}{0}
\setcounter{table}{0}
\setcounter{parentequation}{0}
\setcounter{Item}{0}
\setcounter{Hfootnote}{0}
\setcounter{bookmark@seq@number}{0}
\setcounter{@pps}{0}
\setcounter{@ppsavesec}{0}
\setcounter{@ppsaveapp}{0}
\setcounter{section@level}{0}
}
\begin{titlepage}
\vspace*{3cm}
%---------------------------------------------------------------
% name
%---------------------------------------------------------------
\noindent{\LARGE \textbf{CONV-TTL-RS485 Hardware Guide}}
\noindent \rule{\textwidth}{.1cm}
\hfill Decembre 21, 2017
\vspace*{3cm}
\begin{figure}[h]
\includegraphics[height=3cm]{fig/cern-logo}
\hfill
\includegraphics[height=3cm]{fig/ohwr-logo}
\end{figure}
\vfill
%---------------------------------------------------------------
% name
%---------------------------------------------------------------
\noindent {\textbf{CERN/BE-CO-HT}}\\
\noindent \rule{\textwidth}{.05cm}
\end{titlepage}
SRC = $(wildcard *.svg)
OBJS = $(SRC:.svg=.pdf)
all: $(OBJS)
%.pdf : %.svg
inkscape -f $< -A $@
clean :
rm -f *.pdf
<?xml version="1.0" encoding="utf-8"?>
<!-- Generator: Adobe Illustrator 15.0.2, SVG Export Plug-In . SVG Version: 6.00 Build 0) -->
<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
<svg version="1.1" id="Layer_1" xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" x="0px" y="0px"
width="184.252px" height="184.252px" viewBox="0 0 184.252 184.252" enable-background="new 0 0 184.252 184.252"
xml:space="preserve">
<g>
<path fill="#0053A1" d="M38.544,76.536c-0.921,0.7-4.123,2.692-8.941,2.692c-8.718,0-14.658-5.495-14.658-13.872
c0-8.328,6.298-13.87,14.862-13.87c3.332,0,7.147,1.026,9.275,1.939c-0.445,0.985-0.811,2.286-0.965,3.1l-0.233,0.077
c-1.647-1.823-4.295-3.402-8.213-3.402c-4.972,0-10.696,4.027-10.696,12.056c0,7.819,5.832,11.974,11.046,11.974
c4.684,0,6.927-2.186,8.939-3.885l0.154,0.154L38.544,76.536z"/>
<path fill="#0053A1" d="M60.139,77.312c0-0.588,0.05-1.193,0.092-1.487c-2.644,0.243-9.903,0.463-12.734,0.504
c-0.048-0.707-0.11-9.091-0.04-10.387c1.132,0,7.114,0.078,9.787,0.35c-0.077-0.388-0.116-0.962-0.116-1.35
c0-0.387,0.039-1.082,0.116-1.469c-2.286,0.193-5.214,0.387-9.787,0.387c0-0.969,0.079-8.037,0.118-9.701
c5.036,0,9.596,0.313,12.148,0.504c-0.042-0.264-0.092-0.807-0.092-1.337c0-0.528,0.035-0.958,0.092-1.322
c-1.342,0.09-5.678,0.195-8.003,0.195c-2.324,0-5.913-0.078-8.237-0.195c0.154,3.294,0.311,6.664,0.311,9.997v6.664
c0,3.333-0.156,6.704-0.311,10.075c2.363-0.117,5.99-0.194,8.354-0.194c0.111,0,0.227,0,0.343,0
c0.81,0.003,1.835,0.014,2.893,0.033c1.833,0.034,3.767,0.089,5.159,0.161l0,0l0,0C60.173,78.331,60.139,77.899,60.139,77.312z"/>
<path fill="#0053A1" d="M68.815,65.622v3.082c0,3.332,0.154,6.701,0.311,10.034c-0.66-0.117-1.852-0.128-2.096-0.128
c-0.243,0-1.435,0.012-2.094,0.128c0.155-3.333,0.31-6.703,0.31-10.034v-6.666c0-3.332-0.155-6.703-0.31-10.035
c1.473,0.117,3.336,0.195,4.809,0.195c1.473,0,2.945-0.195,4.417-0.195c4.379,0,8.39,1.293,8.39,6.169
c0,5.161-5.14,7.013-8.085,7.401c1.899,2.363,8.7,10.646,10.947,13.165c-0.774-0.117-2.073-0.128-2.427-0.128
c-0.354,0-1.691,0.012-2.427,0.128c-1.531-2.335-6.437-9.686-9.77-13.117C70.688,65.621,68.815,65.622,68.815,65.622z
M71.411,64.204c3.199-0.065,7.4-1.081,7.4-5.502c0-3.852-3.371-5.076-6.005-5.076c-1.782,0-2.945,0.116-3.758,0.193
c-0.117,2.829-0.232,5.428-0.232,8.218c0,0,0,1.851,0,2.131C69.2,64.221,71.016,64.21,71.411,64.204z"/>
<path fill="#0053A1" d="M112.594,51.99c-0.453,0.078-1.013,0.142-1.699,0.142c-0.676,0-1.257-0.073-1.651-0.142
c0.17,3.174,0.462,9.047,0.462,12.899c0,2.898,0,5.428-0.04,6.862c-1.409-1.499-17.576-18.23-19.219-20.016l-1.269-0.013
c0.057,2.465,0.129,5.141,0.129,10.022c0,6.249-0.087,12.896-0.406,16.994c0.453-0.079,1.012-0.142,1.698-0.142
c0.677,0,1.257,0.071,1.65,0.142c-0.169-3.173-0.461-9.048-0.461-12.898c0-2.899,0.002-5.882,0.041-7.314
c1.409,1.5,17.667,18.458,19.218,20.561l1.269,0.012c-0.058-2.465-0.129-5.234-0.129-10.116
C112.187,62.734,112.275,56.085,112.594,51.99z"/>
<path fill="#0053A1" d="M42.069,121.789c-7.614-12.048-9.781-23.679-10.084-32.58c-1.173,0-2.346,0-3.519,0
c0.295,9.71,2.65,19.893,7.919,29.872C37.627,120.083,40.544,121.358,42.069,121.789z"/>
<path fill="#0053A1" d="M184.25,1.679c0,0-87.554-0.694-115.47-0.679c-4.369,0.003-7.302,0.311-8.248,0.371
C26.048,3.605,0.115,33.842,0,66.817c-0.032,9.586,2.522,20.39,6.667,34.973c5.476,19.267,11.891,41.367,11.891,41.367h3.499
L9.128,99.539l0.097-0.065c9.497,18.347,31.392,33.086,56.237,33.086c13.407,0,25.841-3.753,35.638-10.666l0.085,0.08
l-57.516,61.204h4.492c0,0,40.387-42.968,54.125-57.556c10.527-11.178,15.996-18.381,18.285-22.119
c2.625-4.287,10.964-16.645,10.652-34.847l0.112-0.008l25.203,114.529h3.618c0,0-21.146-93.744-25.25-113.349
c-4.038-19.292-8.809-31.258-13.957-38.083c-1.769-0.985-4.527-2.107-5.828-2.411c7.472,9.428,13.055,23.454,13.055,37.489
c0,34.355-27.95,62.304-62.306,62.304c-34.354,0-62.304-27.949-62.304-62.304c0-34.356,28.05-62.306,62.324-62.306
c15.235,0,29.356,5.588,40.209,14.79c2.098,0.29,4.91,0.945,6.589,1.551l0.013-0.035C104.902,13.046,95.163,7.265,84.33,4.225
c0-0.084,0-0.089,0-0.089l99.922,0.623L184.25,1.679z"/>
<path fill="#0053A1" d="M50.808,132.873c-2.228-0.329-5.011-1.118-6.685-1.836c7.5,8.536,17.82,15.366,27.953,19.198l2.659-2.821
C63.744,143.702,55.756,137.737,50.808,132.873"/>
<path fill="#0053A1" d="M142.469,127.885c-11.121,13.615-28.388,22.783-48.226,22.771c-4.259-0.001-8.391-0.478-11.836-1.179
l-2.847,3.022c5.445,1.258,10.271,1.731,14.885,1.731c20.405,0,37.861-9.523,48.972-22.057L142.469,127.885"/>
<path fill="#0053A1" d="M165.644,17.304l-6.001,61.965h-0.113c-0.851-11.696-6.682-25.666-13.883-34.498
c-12.5-15.329-30.842-24.585-51.444-24.585c-19.647,0-37.15,8.717-49.192,22.381l2.758,2.186
C59.145,31.888,75.442,23.643,94.2,23.643c22.836,0,41.439,11.704,51.845,27.615c9.286,14.197,12.365,32.39,10.213,45.719
c-0.727,4.502-2.36,13.257-8.359,23.176l1.05,4.614c7.358-11.405,11.091-21.556,14.005-47.503
c2.234-19.906,6.073-59.962,6.073-59.962L165.644,17.304z"/>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
version="1.1"
id="svg10"
xml:space="preserve"
width="220.27927"
height="212.61163"
viewBox="0 0 220.27927 212.61163"
sodipodi:docname="conv-ttl-rs485-bd.svg"
inkscape:version="0.92.1 r15371"><metadata
id="metadata16"><rdf:RDF><cc:Work
rdf:about=""><dc:format>image/svg+xml</dc:format><dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" /><dc:title></dc:title></cc:Work></rdf:RDF></metadata><defs
id="defs14" /><sodipodi:namedview
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1"
objecttolerance="10"
gridtolerance="10"
guidetolerance="10"
inkscape:pageopacity="0"
inkscape:pageshadow="2"
inkscape:window-width="1920"
inkscape:window-height="1138"
id="namedview12"
showgrid="false"
inkscape:zoom="1.611357"
inkscape:cx="380.06657"
inkscape:cy="66.849628"
inkscape:window-x="-8"
inkscape:window-y="-8"
inkscape:window-maximized="1"
inkscape:current-layer="g20" /><g
id="g18"
inkscape:groupmode="layer"
inkscape:label="conv-ttl-blo-bd"
transform="matrix(1.3333333,0,0,-1.3333333,0,212.61163)"><g
id="g20"><g
id="g22"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 59.926,62.68 h 42.52 v 42.52 h -42.52 z"
style="fill:#d9d9d9;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:1.60000002;stroke-linecap:butt;stroke-linejoin:round;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path24"
inkscape:connector-curvature="0" /></g><g
id="g26"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 54.258,133.547 h 42.52 v 25.512 h -42.52 z"
style="fill:#d9d9d9;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:round;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path28"
inkscape:connector-curvature="0" /></g><g
id="g30"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 3.234,133.547 h 42.52 v 25.512 H 3.234 Z"
style="fill:#d9d9d9;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:round;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path32"
inkscape:connector-curvature="0" /></g><g
id="g34"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 105.281,139.215 h 25.512 v 14.176 h -25.512 z"
style="fill:#d9d9d9;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:round;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path36"
inkscape:connector-curvature="0" /></g><g
id="g38"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 139.297,139.215 h 25.512 v 14.176 h -25.512 z"
style="fill:#d9d9d9;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:round;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path40"
inkscape:connector-curvature="0" /></g><g
id="g42"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 0.398,12.613 h 164.41 v 8.504 H 0.398 Z"
style="fill:#d9d9d9;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:round;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path44"
inkscape:connector-curvature="0" /></g><text
transform="matrix(1,0,0,-0.98153251,66.269317,72.114048)"
style="font-variant:normal;font-weight:bold;font-size:9.68989086px;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans-Bold;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none"
id="text48"><tspan
x="0 6.6181955 13.711196 21.656906"
y="0"
sodipodi:role="line"
id="tspan46">FPGA</tspan></text>
<g
id="g50"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 2.176,35.008 h 42.52 V 54.594 H 2.176 Z"
style="fill:#d9d9d9;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:round;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path52"
inkscape:connector-curvature="0" /></g><text
transform="matrix(1,0,0,-1,10.100781,116.68131)"
style="font-variant:normal;font-weight:normal;font-size:8.80000019px;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none"
id="text66"><tspan
x="0 4.9984002 10.3752 17.5648 22.976801"
y="0"
sodipodi:role="line"
id="tspan54">Power</tspan><tspan
x="-4.8039026 -0.2279024 5.3424978 10.921698 16.500898 18.938498 21.376097 26.788097"
y="8.8000002"
sodipodi:role="line"
id="tspan56">supplies</tspan><tspan
x="57.70015 62.918549 68.286545"
y="101.40061"
sodipodi:role="line"
id="tspan58">TTL</tspan><tspan
x="45.814991 48.25259 53.82299 57.272591 62.684589 66.301392 69.398994 74.784592 79.642189"
y="110.20061"
sodipodi:role="line"
id="tspan60">interface</tspan><tspan
x="0"
y="0"
sodipodi:role="line"
id="tspan62" /><tspan
x="-5.2086406 -2.7710409 2.7993591 6.2489591 11.660959 15.27776 18.375359 23.76096 28.618559"
y="110.20061"
sodipodi:role="line"
id="tspan64">interface</tspan></text>
<text
transform="matrix(1,0,0,-1,110.08857,9.611441)"
style="font-variant:normal;font-weight:normal;font-size:8.80000019px;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans;writing-mode:lr-tb;fill:#000037;fill-opacity:1;fill-rule:nonzero;stroke:none"
id="text70"><tspan
x="0 5.5791998 10.6392"
y="0"
sodipodi:role="line"
id="tspan68">SFP</tspan></text>
<text
transform="matrix(1,0,0,-1,141.17388,9.611441)"
style="font-variant:normal;font-weight:normal;font-size:8.80000019px;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none"
id="text76"><tspan
x="0 4.9015999 10.4544 17.2304"
y="0"
sodipodi:role="line"
id="tspan72">LEDs</tspan><tspan
x="-85.116432 -79.097237 -71.511635 -65.958832 -57.590034 -52.732433 -47.355633 -41.785233 -36.214832 -30.802834 -25.945234 -22.495634 -17.118834"
y="-129.88277"
sodipodi:role="line"
id="tspan74">VME connector</tspan></text>
<g
id="g78"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="M 79.77,59.441 V 4.227"
style="fill:none;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:2.4, 2.4;stroke-dashoffset:0;stroke-opacity:1"
id="path80"
inkscape:connector-curvature="0" /></g><g
id="g82"
transform="matrix(0,-1,-1,0,0,159.45873)"><path
d="m 61.289,-79.77 -2.769,1.598 v -3.199 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path84"
inkscape:connector-curvature="0" /></g><g
id="g86"
transform="matrix(0,1,1,0,0,159.45873)"><path
d="m -2.379,79.77 -2.769,1.601 v -3.199 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path88"
inkscape:connector-curvature="0" /></g><text
transform="matrix(1,0,0,-1,62.762207,152.39853)"
style="font-style:oblique;font-variant:normal;font-size:8px;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans-Oblique;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none"
id="text92"><tspan
x="0"
y="0"
id="tspan90">I</tspan></text>
<text
transform="matrix(1,0,0,-1,65.121582,155.59853)"
style="font-style:oblique;font-variant:normal;font-size:5.20111418px;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans-Oblique;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none"
id="text96"><tspan
x="0"
y="0"
id="tspan94">2</tspan></text>
<text
transform="matrix(1,0,0,-1,68.433229,152.39853)"
style="font-style:oblique;font-variant:normal;font-size:8px;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans-Oblique;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none"
id="text100"><tspan
x="0"
y="0"
id="tspan98">C</tspan></text>
<g
id="g102"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 74.102,108.035 v 22.676"
style="fill:none;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path104"
inkscape:connector-curvature="0" /></g><g
id="g106"
transform="matrix(0,1,1,0,0,159.45873)"><path
d="m -106.188,74.102 -2.769,1.597 V 72.5 Z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path108"
inkscape:connector-curvature="0" /></g><g
id="g110"
transform="matrix(0,-1,-1,0,0,159.45873)"><path
d="m 132.559,-74.102 -2.77,1.602 v -3.199 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path112"
inkscape:connector-curvature="0" /></g><g
id="g114"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 82.605,108.035 v 11.34 h 34.016 v 17.008"
style="fill:none;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path116"
inkscape:connector-curvature="0" /></g><g
id="g118"
transform="matrix(0,1,1,0,0,159.45873)"><path
d="m -106.188,82.605 -2.769,1.598 v -3.199 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path120"
inkscape:connector-curvature="0" /></g><g
id="g122"
transform="matrix(0,-1,-1,0,0,159.45873)"><path
d="m 138.227,-116.621 -2.766,1.601 v -3.199 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path124"
inkscape:connector-curvature="0" /></g><g
id="g126"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 91.109,108.035 v 5.668 h 59.528 v 22.68"
style="fill:none;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path128"
inkscape:connector-curvature="0" /></g><g
id="g130"
transform="matrix(0,1,1,0,0,159.45873)"><path
d="m -106.188,91.109 -2.769,1.598 v -3.199 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path132"
inkscape:connector-curvature="0" /></g><g
id="g134"
transform="matrix(0,-1,-1,0,0,159.45873)"><path
d="m 138.227,-150.637 -2.766,1.602 v -3.199 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path136"
inkscape:connector-curvature="0" /></g><g
id="g138"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 65.598,108.035 v 5.668 H 25.91 v 17.008"
style="fill:none;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path140"
inkscape:connector-curvature="0" /></g><g
id="g142"
transform="matrix(0,1,1,0,0,159.45873)"><path
d="m -106.188,65.598 -2.769,1.597 v -3.199 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path144"
inkscape:connector-curvature="0" /></g><g
id="g146"
transform="matrix(0,-1,-1,0,0,159.45873)"><path
d="m 132.559,-25.91 -2.77,1.598 v -3.2 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path148"
inkscape:connector-curvature="0" /></g><g
id="g150"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 2.176,88.594 h 42.52 v 17.008 H 2.176 Z"
style="fill:#d9d9d9;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:round;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path152"
inkscape:connector-curvature="0" /></g><text
transform="matrix(1,0,0,-1,11.926953,59.21044)"
style="font-variant:normal;font-weight:normal;font-size:8.80000019px;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none"
id="text156"><tspan
x="0 5.0599999 7.4976001 12.8832 17.4592"
y="0"
sodipodi:role="line"
id="tspan154">Flash</tspan></text>
<g
id="g158"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 105.281,97.098 h 14.176"
style="fill:none;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path160"
inkscape:connector-curvature="0" /></g><g
id="g162"
transform="matrix(-1,0,0,1,0,159.45873)"><path
d="m -103.434,-97.098 -2.769,1.602 v -3.199 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path164"
inkscape:connector-curvature="0" /></g><g
id="g166"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 121.301,97.098 -2.766,1.597 v -3.199 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path168"
inkscape:connector-curvature="0" /></g><g
id="g170"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 122.18,86.574 h 42.52 v 19.027 h -42.52 z"
style="fill:#d9d9d9;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:round;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path172"
inkscape:connector-curvature="0" /></g><text
transform="matrix(1,0,0,-1,124.89475,64.49169)"
style="font-variant:normal;font-weight:normal;font-size:8.80000019px;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none"
id="text178"><tspan
x="0 5.368 10.9384 16.350401 19.835199 28.406401 33.950401"
y="0"
sodipodi:role="line"
id="tspan174">Thermo-</tspan><tspan
x="5.3367162 13.907916 19.319916 22.769516 28.181517"
y="8.8000002"
sodipodi:role="line"
id="tspan176">meter</tspan></text>
<g
id="g180"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 47.566,96.695 h 9.176"
style="fill:none;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path182"
inkscape:connector-curvature="0" /></g><g
id="g184"
transform="matrix(-1,0,0,1,0,159.45873)"><path
d="m -45.723,-96.695 -2.765,1.597 v -3.199 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path186"
inkscape:connector-curvature="0" /></g><g
id="g188"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="M 58.586,96.695 55.82,98.297 V 95.098 Z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path190"
inkscape:connector-curvature="0" /></g><g
id="g192"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 2.176,62.281 h 42.52 V 79.289 H 2.176 Z"
style="fill:#d9d9d9;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:round;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path194"
inkscape:connector-curvature="0" /></g><text
transform="matrix(1,0,0,-1,9.211328,85.522257)"
style="font-variant:normal;font-weight:normal;font-size:8.80000019px;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none"
id="text198"><tspan
x="0 6.1423998 8.5799999 13.9568 18.788 23.8832"
y="0"
sodipodi:role="line"
id="tspan196">Clocks</tspan></text>
<g
id="g200"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 48.062,70.785 h 9.176"
style="fill:none;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path202"
inkscape:connector-curvature="0" /></g><g
id="g204"
transform="matrix(-1,0,0,1,0,159.45873)"><path
d="m -46.219,-70.785 -2.765,1.601 v -3.199 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path206"
inkscape:connector-curvature="0" /></g><g
id="g208"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="m 59.082,70.785 -2.766,1.598 v -3.199 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path210"
inkscape:connector-curvature="0" /></g><g
id="g212"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="M 122.18,62.281 H 164.7 V 81.867 H 122.18 Z"
style="fill:#d9d9d9;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:round;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path214"
inkscape:connector-curvature="0" /></g><text
transform="matrix(1,0,0,-1,134.34575,88.639029)"
style="font-variant:normal;font-weight:normal;font-size:8.80000019px;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none"
id="text220"><tspan
x="0 5.4735999 10.8416"
y="0"
sodipodi:role="line"
id="tspan216">RTM</tspan><tspan
x="-11.558598 -5.9793978 -0.56739759 2.8822024 8.2942028 13.125402 16.575003 19.012602 24.389402"
y="8.8000002"
sodipodi:role="line"
id="tspan218">detection</tspan></text>
<g
id="g222"
transform="matrix(1,0,0,-1,0,159.45873)"><path
d="M 105.281,72.074 H 121.98"
style="fill:none;stroke:#000000;stroke-width:0.80000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path224"
inkscape:connector-curvature="0" /></g><g
id="g226"
transform="matrix(-1,0,0,1,0,159.45873)"><path
d="m -103.434,-72.074 -2.769,1.601 v -3.203 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.40000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path228"
inkscape:connector-curvature="0" /></g><text
xml:space="preserve"
style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:17px;line-height:1.25;font-family:sans-serif;-inkscape-font-specification:'sans-serif, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:0.75"
x="11.636156"
y="-16.988791"
id="text232"
transform="scale(1,-1)"><tspan
sodipodi:role="line"
id="tspan230"
x="11.636156"
y="-1.4791751"
style="stroke-width:0.75" /></text>
<flowRoot
xml:space="preserve"
id="flowRoot234"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:10.66666698px;line-height:1.25;font-family:sans-serif;-inkscape-font-specification:sans-serif;font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none"
transform="matrix(0.75000002,0,0,-0.75000002,-47.475515,211.12326)"><flowRegion
id="flowRegion236"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:sans-serif;-inkscape-font-specification:sans-serif"><rect
id="rect238"
width="68.265442"
height="20.479633"
x="78.194962"
y="251.08852"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:sans-serif;-inkscape-font-specification:sans-serif" /></flowRegion><flowPara
id="flowPara240">RS485</flowPara></flowRoot></g></g></svg>
\ No newline at end of file
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="565.93835"
height="313.81104"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="conv-sys-bd.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleInL"
orient="auto"
refY="0"
refX="0"
id="TriangleInL"
style="overflow:visible">
<path
id="path4083"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.8,-0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="TriangleOutL"
style="overflow:visible">
<path
id="path4092"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="TriangleInM"
style="overflow:visible">
<path
id="path4086"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path4095"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.4"
inkscape:cx="215.75401"
inkscape:cy="40.286456"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
showguides="true"
inkscape:guide-bbox="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid3903"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="1mm"
spacingy="1mm"
originx="6.1081275mm"
originy="-191.71778mm" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(21.642971,-59.236198)">
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:16, 16;stroke-dashoffset:0"
d="m 336.61417,60.236198 0,311.811022"
id="path11091"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<rect
y="255.11809"
x="354.33072"
height="88.582687"
width="42.519676"
id="rect3757"
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<rect
y="166.53542"
x="318.89764"
height="53.149601"
width="21.259836"
id="rect3781"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
transform="matrix(0,0.91287127,-1.0954447,0,0,0)"
sodipodi:linespacing="125%"
id="text3785"
y="-297.17123"
x="211.85895"
style="font-size:10.95445156px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#ffffff;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle;fill:#ffffff;fill-opacity:1"
id="tspan3787"
y="-297.17123"
x="211.85895"
sodipodi:role="line">VME P1</tspan></text>
<path
inkscape:connector-curvature="0"
id="path8439"
d="m 329.52756,162.9921 0,-35.43307"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" />
<text
sodipodi:linespacing="125%"
id="text8447"
y="124.01572"
x="311.81104"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="124.01572"
x="311.81104"
id="tspan8449"
sodipodi:role="line"
style="font-style:italic">I<tspan
id="tspan8451"
style="font-size:65.00091553%;font-style:italic;baseline-shift:super">2</tspan>C</tspan></text>
<rect
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect8469"
width="35.433064"
height="53.149601"
x="318.89764"
y="272.83463" />
<text
xml:space="preserve"
style="font-size:10.95445156px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#ffffff;fill-opacity:1;stroke:none;font-family:Sans"
x="328.3942"
y="-303.57446"
id="text8471"
sodipodi:linespacing="125%"
transform="matrix(0,0.91287127,-1.0954447,0,0,0)"><tspan
sodipodi:role="line"
x="328.3942"
y="-303.57446"
id="tspan8473"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle;fill:#ffffff;fill-opacity:1">VME P2</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM);stroke-miterlimit:4;stroke-dasharray:none"
d="m 382.67717,287.00785 -24.80315,0"
id="path10763"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM);stroke-miterlimit:4;stroke-dasharray:none"
d="m 354.33071,297.63777 24.80315,0"
id="path10765"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM);stroke-miterlimit:4;stroke-dasharray:none"
d="m 354.33071,308.26769 24.80315,0"
id="path10767"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM);stroke-miterlimit:4;stroke-dasharray:none"
d="m 354.33071,318.89762 24.80315,0"
id="path10769"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect10959"
width="14.173234"
height="46.063004"
x="382.67715"
y="279.92123" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="421.65353"
y="255.11813"
id="text10961"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan10963"
x="421.65353"
y="255.11813"
style="text-align:start;text-anchor:start">6x RS-485</tspan></text>
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.99999994;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect10965"
width="51.535797"
height="95.669312"
x="-469.64603"
y="-354.33072"
transform="scale(-1,-1)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path10969"
d="m 485.43307,343.70077 -67.32283,1e-5"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInL)" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.23634885;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path10971"
sodipodi:cx="42.519684"
sodipodi:cy="223.22832"
sodipodi:rx="3.5433071"
sodipodi:ry="3.5433071"
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z"
transform="matrix(-2.115517,0,0,-2.115517,545.51756,815.94408)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleOutL)"
d="m 484.47372,269.29132 -65.37073,0"
id="path10967"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z"
sodipodi:ry="3.5433071"
sodipodi:rx="3.5433071"
sodipodi:cy="223.22832"
sodipodi:cx="42.519684"
id="path10973"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.23634885;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc"
transform="matrix(-2.0541753,0,0,-2.0541753,542.816,727.84141)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInL)"
d="m 485.43307,318.89762 -67.32283,10e-6"
id="path10995"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
transform="matrix(-2.115517,0,0,-2.115517,545.51756,791.14093)"
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z"
sodipodi:ry="3.5433071"
sodipodi:rx="3.5433071"
sodipodi:cy="223.22832"
sodipodi:cx="42.519684"
id="path10997"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.23634885;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path10999"
d="m 485.43307,294.09447 -67.32283,10e-6"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInL)" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.23634885;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path11001"
sodipodi:cx="42.519684"
sodipodi:cy="223.22832"
sodipodi:rx="3.5433071"
sodipodi:ry="3.5433071"
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z"
transform="matrix(-2.115517,0,0,-2.115517,545.51756,766.33778)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 396.85039,279.92124 418.11024,258.6614"
id="path11055"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 396.85039,325.98423 21.25985,28.34646"
id="path11059"
inkscape:connector-curvature="0" />
<rect
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3791"
width="230.31496"
height="212.59842"
x="88.582687"
y="131.10234" />
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect6424"
width="70.866142"
height="14.173228"
x="141.52464"
y="184.25195" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans;opacity:0.3"
x="145.27559"
y="194.09735"
id="text6412"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan6414"
x="145.27559"
y="194.09735">time tagging</tspan></text>
<g
id="g3213">
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect6426"
width="63.779533"
height="14.173228"
x="212.39078"
y="184.25195" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="272.83466"
y="194.09735"
id="text6416"
sodipodi:linespacing="125%"><tspan
style="text-align:end;text-anchor:end"
sodipodi:role="line"
id="tspan6418"
x="272.83466"
y="194.09735">diagnostics</tspan></text>
</g>
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect6428"
width="134.64566"
height="42.519688"
x="141.52464"
y="198.42519" />
<text
sodipodi:linespacing="125%"
id="text6420"
y="216.14171"
x="225.17986"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:center;text-anchor:middle"
y="216.14171"
x="226.77165"
id="tspan6422"
sodipodi:role="line">pulse </tspan><tspan
style="text-align:center;text-anchor:middle"
id="tspan8483"
y="228.64171"
x="225.17986"
sodipodi:role="line">generation</tspan></text>
<g
id="g3208">
<rect
y="170.07874"
x="141.73228"
height="14.173232"
width="134.438"
id="rect3176"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text3178"
y="179.92413"
x="262.66223"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="179.92413"
x="262.66223"
id="tspan3180"
sodipodi:role="line"
style="text-align:end;text-anchor:end">remote reprograming</tspan></text>
</g>
<rect
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect6430"
width="134.64566"
height="70.86615"
x="141.52464"
y="170.07874" />
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect3867"
width="17.716534"
height="35.433067"
x="88.58268"
y="272.83463" />
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.99999994;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect3877"
width="74.959267"
height="67.463348"
x="-4.0931234"
y="258.52087" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleOutL)"
d="m -19.084976,310.99237 67.59118,-0.0552 0,-3.43742"
id="path3923"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
sodipodi:nodetypes="ccc"
inkscape:connector-curvature="0"
id="path3925"
d="m -19.084976,273.51273 67.59118,-0.13815 0,5.70798"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInL)" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.23634885;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path3879"
sodipodi:cx="42.519684"
sodipodi:cy="223.22832"
sodipodi:rx="3.5433071"
sodipodi:ry="3.5433071"
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z"
transform="matrix(2.115517,0,0,2.115517,-79.052389,-198.73057)" />
<path
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z"
sodipodi:ry="3.5433071"
sodipodi:rx="3.5433071"
sodipodi:cy="223.22832"
sodipodi:cx="42.519684"
id="path3881"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.23634885;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc"
transform="matrix(2.115517,0,0,2.115517,-79.052389,-161.25093)" />
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g3911"
transform="matrix(2.115517,0,0,2.115517,-79.052389,-206.22651)">
<path
inkscape:connector-curvature="0"
id="path3883"
d="m 60.23622,232.0398 -5.361754,10.72351 10.723508,0 z"
style="fill:none;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.56813234;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path3885"
sodipodi:cx="42.519684"
sodipodi:cy="223.22832"
sodipodi:rx="3.5433071"
sodipodi:ry="3.5433071"
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z"
transform="matrix(0.4160102,0,0,0.4160102,42.594742,137.96887)" />
</g>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="70.866142"
y="254.98254"
id="text6139"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan6141"
x="70.866142"
y="254.98254"
style="text-align:end;text-anchor:end">4x TTL inverters</tspan></text>
<text
sodipodi:linespacing="125%"
id="text6143"
y="187.79526"
x="70.866142"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="187.79526"
x="70.866142"
id="tspan6145"
sodipodi:role="line">6x TTL</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="85.039368"
y="159.44879"
id="text6157"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan6159"
x="85.039368"
y="159.44879"
style="text-align:end;text-anchor:end">1x SFP</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 70.866142,258.6614 17.716535,14.17322"
id="path6173"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 70.866142,325.98423 88.582677,308.26769 70.866142,325.98423"
id="path6175"
inkscape:connector-curvature="0" />
<rect
y="191.33855"
x="17.71653"
height="49.606312"
width="53.149612"
id="rect6179"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.99999994;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6181"
d="m 3.5433071,230.31494 67.3228349,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleOutL)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInL)"
d="m 3.5433071,201.96849 67.3228349,-1e-5"
id="path6183"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
transform="matrix(2.115517,0,0,2.115517,-57.792547,-270.27482)"
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z"
sodipodi:ry="3.5433071"
sodipodi:rx="3.5433071"
sodipodi:cy="223.22832"
sodipodi:cx="42.519684"
id="path6185"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.23634885;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
transform="matrix(2.115517,0,0,2.115517,-58.061351,-241.92836)"
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.23634885;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path6187"
sodipodi:cx="42.519684"
sodipodi:cy="223.22832"
sodipodi:rx="3.5433071"
sodipodi:ry="3.5433071"
d="m 46.062991,223.22832 c 0,1.95691 -1.586393,3.5433 -3.543307,3.5433 -1.956915,0 -3.543307,-1.58639 -3.543307,-3.5433 0,-1.95692 1.586392,-3.54331 3.543307,-3.54331 1.956914,0 3.543307,1.58639 3.543307,3.54331 z" />
<rect
y="201.96849"
x="88.58268"
height="35.433067"
width="17.716534"
id="rect6195"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 70.866142,191.33856 17.716535,10.62992"
id="path6197"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 88.582677,237.40155 -17.716535,3.54331"
id="path6199"
inkscape:connector-curvature="0" />
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect6217"
width="17.716534"
height="35.433067"
x="88.58268"
y="148.81888" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="155.90552"
y="166.53543"
id="text6163"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan6165"
x="155.90552"
y="166.53543"
style="font-weight:bold;text-align:center;text-anchor:middle">FPGA</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM);stroke-miterlimit:4;stroke-dasharray:none"
d="m 279.92126,184.25196 35.43307,0"
id="path7873"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="290.55118"
y="180.70866"
id="text8441"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan8443"
x="290.55118"
y="180.70866"
style="font-style:italic">I<tspan
style="font-size:65.00091553%;font-style:italic;baseline-shift:super"
id="tspan8445">2</tspan>C</tspan></text>
<rect
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect8485"
width="92.125816"
height="67.322884"
x="216.14174"
y="262.20471" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="262.20471"
y="272.83466"
id="text8477"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="262.20471"
y="272.83466"
style="text-align:center;text-anchor:middle"
id="tspan3942">RS-485</tspan><tspan
sodipodi:role="line"
x="262.20471"
y="285.33466"
style="text-align:center;text-anchor:middle"
id="tspan3948">transceivers</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:none"
d="m 106.29921,230.31494 53.14961,0 0,77.95275 120.47244,0"
id="path8487"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:none;marker-end:url(#TriangleOutM)"
d="m 318.89764,287.00785 -141.73229,0 0,-77.95275 -67.32283,0"
id="path8489"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM);stroke-miterlimit:4;stroke-dasharray:none"
d="m 279.92126,308.26769 35.43307,0"
id="path10009"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 106.29921,166.53541 17.71654,0 0,24.80315 17.71653,0"
id="path11089"
inkscape:connector-curvature="0" />
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text3105"
y="-93.800812"
x="177.06276"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-weight:bold;text-align:end;text-anchor:end"
y="-93.800812"
x="177.06276"
id="tspan3107"
sodipodi:role="line">SFP</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="229.91208"
y="-93.795929"
id="text3109"
sodipodi:linespacing="125%"
transform="matrix(0,1,-1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan3111"
x="229.91208"
y="-93.795929"
style="font-weight:bold;text-align:end;text-anchor:end">TTL</tspan></text>
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text3113"
y="-93.800812"
x="304.4281"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-weight:bold;text-align:end;text-anchor:end"
y="-93.800812"
x="304.4281"
id="tspan3115"
sodipodi:role="line">GPIO</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-303.06503"
y="393.4039"
id="text3117"
sodipodi:linespacing="125%"
transform="matrix(0,-1,1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan3119"
x="-303.06503"
y="393.4039"
style="font-weight:bold;text-align:center;text-anchor:middle">RS-485</tspan></text>
<g
id="g3171"
transform="translate(64.892966,0)">
<text
sodipodi:linespacing="125%"
id="text11093"
y="81.49604"
x="147.70546"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:16px;font-style:normal;font-weight:bold;text-align:center;text-anchor:middle"
y="81.49604"
x="147.70546"
id="tspan11095"
sodipodi:role="line">CONV-TTL-RS485</tspan></text>
<text
sodipodi:linespacing="125%"
id="text11097"
y="95.669273"
x="148.18153"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
y="95.669273"
x="148.18153"
id="tspan11099"
sodipodi:role="line">front module</tspan></text>
</g>
<g
id="g3165"
transform="translate(0,-2.2888184e-5)">
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="442.91339"
y="81.496063"
id="text11101"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan11103"
x="442.91339"
y="81.496063"
style="font-size:16px;font-style:normal;font-weight:bold;text-align:center;text-anchor:middle">CONV-TTL-RTM</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="443.43292"
y="95.669296"
id="text11105"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan11107"
x="443.43292"
y="95.669296"
style="font-style:normal;text-align:center;text-anchor:middle">rear-transition module</tspan></text>
</g>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="488.97638"
y="272.83466"
id="text4634"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4636"
x="488.97638"
y="272.83466">1x input</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4638"
y="322.44095"
x="496.06299"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="322.44095"
x="496.06299"
id="tspan4640"
sodipodi:role="line">3x output</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM);stroke-miterlimit:4;stroke-dasharray:none"
d="m 315.73746,249.03152 0,-10.62993 21.25984,0"
id="path4663"
inkscape:connector-curvature="0"
transform="translate(-21.642971,59.236198)" />
<path
inkscape:connector-curvature="0"
id="path5041"
d="m 294.09449,308.26771 0,10.62993 21.25984,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM);stroke-miterlimit:4;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 514.16266,227.77167 0,63.77953"
id="path5106"
inkscape:connector-curvature="0"
transform="translate(-21.642971,59.236198)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 507.07604,227.77167 7.08662,0"
id="path5146"
inkscape:connector-curvature="0"
transform="translate(-21.642971,59.236198)" />
<path
inkscape:connector-curvature="0"
id="path5148"
d="m 485.43307,350.7874 7.08662,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<g
id="g3237"
transform="translate(-6.1875973,0)">
<rect
transform="matrix(0,-1,1,0,0,0)"
style="fill:#ffffff;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect3202"
width="17.716534"
height="63.779522"
x="-155.9055"
y="183.14531" />
<text
sodipodi:linespacing="125%"
id="text3204"
y="150.68738"
x="244.48819"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-weight:normal;text-align:end;text-anchor:end"
y="150.68738"
x="244.48819"
id="tspan3206"
sodipodi:role="line">Flash PROM</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)"
d="m 230.69809,100.21262 0,7.08661"
id="path3242"
inkscape:connector-curvature="0"
transform="translate(-21.642971,59.236198)" />
<g
id="g4128">
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g6474"
transform="matrix(0,-1.0577593,1.0577593,0,-192.41869,279.87711)">
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path6476"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
inkscape:connector-curvature="0"
id="path6480"
d="m 67.322842,216.20147 -14.173236,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6482"
d="m 60.255113,232.15897 0,-8.22535"
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6484"
d="m 56.850744,210.82674 -3.543309,-3.60447"
style="fill:none;stroke:#000000;stroke-width:0.50000036px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6486"
d="m 51.53578,210.82674 -3.533467,-3.63435"
style="fill:none;stroke:#000000;stroke-width:0.50000036px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
inkscape:connector-curvature="0"
id="path3128"
d="m 49.6063,212.59842 0,7.08662"
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
<g
id="g4112">
<g
transform="matrix(0,-1.0577593,-1.0577593,0,683.33358,372.01997)"
id="g4079"
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none">
<path
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
id="path4081"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 67.322842,216.20147 -14.173236,0"
id="path4084"
inkscape:connector-curvature="0"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 60.255113,232.15897 0,-8.22535"
id="path4087"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.50000036px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 434.06415,302.9696 3.54331,-3.60447"
id="path4089"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.50000036px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 439.37911,302.9696 3.53347,-3.63435"
id="path4091"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 441.30859,304.74127 0,7.08662"
id="path4093"
inkscape:connector-curvature="0" />
</g>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with sK1/UniConvertor (http://sk1project.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="595.276pt"
height="841.89pt"
viewBox="0 0 595.276 841.89"
fill-rule="evenodd"
id="svg2"
version="1.1"
inkscape:version="0.47pre4 r22446"
sodipodi:docname="ohr_logo.svg"
inkscape:export-filename="/home/kikito/Desktop/ohr_logo.png"
inkscape:export-xdpi="126.50246"
inkscape:export-ydpi="126.50246">
<metadata
id="metadata280">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<sodipodi:namedview
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1"
objecttolerance="10"
gridtolerance="10"
guidetolerance="10"
inkscape:pageopacity="0"
inkscape:pageshadow="2"
inkscape:window-width="1440"
inkscape:window-height="850"
id="namedview278"
showgrid="false"
inkscape:zoom="0.63429547"
inkscape:cx="154.33516"
inkscape:cy="531.90964"
inkscape:window-x="0"
inkscape:window-y="25"
inkscape:window-maximized="1"
inkscape:current-layer="svg2" />
<defs
id="defs4">
<inkscape:perspective
sodipodi:type="inkscape:persp3d"
inkscape:vp_x="0 : 526.18127 : 1"
inkscape:vp_y="0 : 1000 : 0"
inkscape:vp_z="744.09497 : 526.18127 : 1"
inkscape:persp3d-origin="372.04749 : 350.78752 : 1"
id="perspective282" />
<marker
id="ArrowEnd"
viewBox="0 0 10 10"
refX="0"
refY="5"
markerUnits="strokeWidth"
markerWidth="4"
markerHeight="3"
orient="auto">
<path
d="M 0 0 L 10 5 L 0 10 z"
id="path7" />
</marker>
<marker
id="ArrowStart"
viewBox="0 0 10 10"
refX="10"
refY="5"
markerUnits="strokeWidth"
markerWidth="4"
markerHeight="3"
orient="auto">
<path
d="M 10 0 L 0 5 L 10 10 z"
id="path10" />
</marker>
</defs>
<g
id="g3499"
inkscape:export-xdpi="90"
inkscape:export-ydpi="90">
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 107.755,514.353 c -5.661,-12.668 -2.428,-72.033 27.045,-123.394 22.747,-41.806 31.009,-41.861 72.045,-90.603 8.161,-9.826 9.689,-34.606 8.637,-75.755 -1.802,-55.035 14.078,-78.473 27.341,-89.73 5.865,-4.977 10.547,-7.841 14.105,-9.545 37.228,-21.361 78.903,-14.392 104.265,-5.733 3.859,1.407 7.059,3.561 10.358,5.884 21.543,15.169 31.746,47.617 30.424,75.788 -1.411,33.732 -4.26,62.909 5.092,72.584 34.4,32.294 52.584,62.536 67.218,89.578 3.994,7.378 15.965,32.437 19.234,42.973 5.74,21.891 1.526,58.494 -2.018,74.667 3.554,2.424 9.949,8.448 15.019,12.008 13.194,8.326 33.776,16.462 32.95,23.841 -0.392,5.225 -37.929,59.66 -53.917,85.024 -21.622,32.656 -52.625,70.038 -55.664,72.853 -3.51,3.063 -9.044,4.89 -13.678,5.142 -1.665,0.221 -12.546,-3.138 -25.919,-5.724 -12.175,-2.353 -27.482,-4.546 -37.974,-6.055 -5.657,-1.888 -9.645,-11.663 -13.22,-11.761 -7.337,0.199 -23.69,-3.125 -39.484,1.401 -12.9,1.922 -15.965,1.621 -30.255,0.569 -5.256,-1.29 -5.352,-3.138 -7.073,-1.194 -6.908,11.395 -15.781,25.698 -31.42,35.82 -0.768,0.734 -4.275,-0.045 -5.75,-0.609 -35.648,-13.625 -41.715,-6.383 -98.64,-30.944 -14.633,-5.781 -37.7825,-15.278 -49.3237,-18.371 -2.6059,-0.699 -4.0742,-3.158 -5.1835,-3.826 -1.5764,-0.893 -4.3026,-1.048 -5.8114,-1.619 -14.5759,-5.507 -16.3689,-17.271 -15.4357,-19.91 2.4742,-11.348 4.645,-17.421 8.1421,-26.956 11.29,-31.507 26.0679,-51.947 41.5342,-73.392 0.821,-2.451 8.543,-1.378 7.357,-3.011 z"
id="path18" />
<path
style="fill:#767b82;stroke:#676f79;stroke-width:0.500094"
d="m 225.082,191.519 c 0.121,-1.355 1.131,-3.089 1.944,-2.949 15.396,2.651 26.629,0.066 59.797,-3.31 2.052,-0.409 2.566,1.596 2.759,2.472 2.918,13.25 3.328,24.422 6.093,39.511 0.856,4.578 0.139,5.131 -2.364,6.529 -32.127,9.828 -43.471,22.124 -62.592,33.738 -1.62,0.985 -5.331,3.049 -4.775,-0.453 -1.669,-26.851 -3.398,-48.647 -0.862,-75.538 z"
id="path20" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 333.076,304.867 c 9.991,-7.049 16.336,-13.305 27.018,-18.674 2.198,-1.059 7.122,-10.116 4.789,-12.047 -1.975,-2.075 -2.534,-3.341 -1.611,-8.126 0.243,-3.622 0.569,-6.371 -1.059,-9.728 -0.327,-0.456 -1.283,-3.711 -6.181,-1.053 -0.559,0.664 -1.314,0.462 -2.03,0.062 -14.772,-7.373 -30.275,-18.78 -48.385,-21.397 -1.872,-0.464 -2.519,-2.224 -3.073,-5.382 -3.054,-17.195 -2.474,-31.38 -4.845,-48.302 -0.559,-1.931 -4.503,-5.359 -8.967,-3.97 -8.342,3.599 -33.849,7.498 -59.109,6.906 -1.951,-0.482 -4.344,0.319 -3.668,-3.667 4.56,-19.272 3.716,-21.915 23.916,-41.891 38.35,-31.721 111.302,-22.676 122.21,28.926 4.099,17.731 6.568,60.64 -0.597,95.909 -0.535,4.698 -0.96,9.285 1.697,13.51 14.242,20.576 31.349,34.56 49.562,50.885 13.271,10.635 46.231,49.613 53.953,91.5 2.585,14.518 4.455,30.965 1.328,50.454 -0.132,0.397 -0.205,1.137 -2.053,0.154 -11.715,-8.161 -24.455,-10.028 -33.638,-10.147 -3.193,-0.041 -3.118,-1.618 -3.069,-2.293 0.19,-8.567 0.634,-17.304 -0.71,-26.727 -1.472,-10.045 -7.148,-28.792 -22.716,-46.376 -2.106,-2.485 -5.204,-5.277 -11.335,-3.988 -3.5,0.875 -4.048,3.815 -3.399,6.44 4.357,15.671 12.446,28.859 13.381,51.226 -7.064,-11.201 -18.334,-24.119 -27.112,-34.54 -17.041,-17.015 -16.463,-18.441 -34.309,-28.444 -3.039,-1.305 -6.802,-2.296 -9.149,-3.601 -3.123,-1.595 -2.713,-5.43 -3.268,-8.007 -2.854,-18.884 -5.307,-33.003 -8.291,-52.254 -0.307,-1.31 -1.162,-4.158 0.72,-5.358 z"
id="path22" />
<path
style="fill:#efa417;stroke:#efa417;stroke-width:0.500094"
d="m 67.4257,623.695 c 14.0267,-54.464 33.2903,-66.747 53.8463,-100.062 3.215,-4.014 1.522,-3.582 -2.511,-2.086 -5.854,2.173 -6.889,-1.95 -14.409,4.888 -7.4603,6.783 -15.8039,22.053 -19.9727,28.661 -9.7038,17.012 -14.6677,27.543 -20.0041,41.488 -6.9562,16.113 -9.1531,29.193 0.1743,31.341 1.5424,0.356 1.6372,1.249 2.8762,-4.23 z"
id="path24" />
<path
style="fill:#f8d222;stroke:#f8d222;stroke-width:0.500094"
d="m 223.509,595.27 c -13.932,-13.931 -32.698,-29.305 -47.886,-42.114 -8.165,-6.888 -21.045,-17.267 -27.499,-21.995 -18.706,-13.703 -21.209,-2.344 -30.422,10.561 l -11.92,16.696 c -7.6585,10.727 -22.7348,34.92 -25.9552,48.128 -2.3658,9.701 -10.6312,22.228 1.2886,28.027 9.4062,7.588 84.6446,34.736 106.6496,39.513 11.865,2.575 18.951,3.877 32.241,5.558 17.233,2.178 35.505,-26.493 42.145,-40.268 2.876,-5.965 -13.753,-15.829 -19.215,-23.306 -4.071,-5.574 -10.48,-10.882 -19.427,-20.8 z"
id="path26" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 91.5647,634.558 c -5.6582,-1.042 -8.1074,-3.19 -5.071,-8.372 3.0365,-5.181 6.9497,-13.176 13.1321,-26.58 0.9912,-2.15 2.4962,-4.954 8.1122,-1.001 5.264,3.705 14.206,11.15 23.836,18.209 0.641,0.47 3.066,2.718 -0.2,5.912 -4.087,7.218 -3.667,9.693 -6.093,17.386 -0.826,2.608 -2.897,4.115 -7.694,1.658 -4.798,-2.458 -20.3641,-6.171 -26.0223,-7.212 z"
id="path28" />
<path
style="fill:#efa417;stroke:#efa417;stroke-width:0.500094"
d="m 98.4522,629.527 c -3.6944,-0.655 -5.2936,-2.007 -3.3111,-5.268 1.9826,-3.261 4.5377,-8.293 8.5749,-16.728 0.647,-1.353 1.629,-3.118 5.296,-0.63 3.437,2.332 9.276,7.017 15.563,11.459 0.419,0.296 2.002,1.711 -0.13,3.721 -2.669,4.543 -2.394,6.1 -3.978,10.942 -0.539,1.641 -1.892,2.589 -5.024,1.043 -3.133,-1.547 -13.296,-3.884 -16.9908,-4.539 z"
id="path30" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 118.049,512.132 c -6.71,-7.445 -4.264,-29.25 -1.675,-47.94 20.578,-86.046 46.603,-106.942 95.483,-155.85 3.43,-3.144 6.805,-7.069 8.245,-11.954 1.776,-7.094 3.648,-20.767 5.968,-20.269 1.864,0 0.258,-0.325 2.883,0.109 2.603,0.286 -0.65,10.661 1.519,12.574 13.994,16.271 21.91,15.299 21.877,20.208 0.408,3.182 1.738,5.733 2.239,8.476 3.564,19.524 5.688,27.751 4.23,52.557 -0.602,5.044 -2.255,4.757 -3.664,5.796 -58.282,33.955 -68.512,78.379 -73.274,111.967 -8.011,-20.073 -6.951,-48.693 4.648,-86.257 0.338,-1.404 1.468,-3.073 2.506,-4.626 4.041,-5.663 -5.011,-12.076 -9.578,-5.663 -22.607,30.029 -29.279,61.28 -26.173,89.267 5.306,26.262 25.034,47.115 45.364,63.161 22.097,18.25 22.908,20.754 32.341,31.399 3.523,5.861 -5.768,13.103 -8.58,9.324 -29.863,-26.796 -56.24,-50.309 -88.084,-71.842 -1.026,-0.694 -2.06,-1.566 -3.989,-1.601 -4.273,0.745 -11.574,2.68 -12.286,1.164 z"
id="path32" />
<path
style="fill:#767b82;stroke:#676f79;stroke-width:0.500094"
d="m 294.009,327.753 c -1.609,18.521 -0.105,37.527 0.784,56.518 -12.151,2.445 -17.594,3.373 -27.457,7.085 -29.188,11.01 -40.509,24.793 -58.915,57.059 -10.405,25.766 -9,47.682 -11.124,83.234 -16.858,-11.595 -31.971,-34.75 -34.987,-48.805 -6.692,-29.607 6.75,-60.493 13.951,-74.531 -10.621,45.371 -9.071,64.266 0.711,88.674 2.647,4.413 8.193,8.083 11.997,5.919 2.893,-2.325 1.066,-11.209 1.786,-16.433 2.259,-45.762 29.602,-87.782 71.207,-103.815 6.742,-2.585 5.147,-1.917 5.374,-6.61 0.149,-18.388 0.127,-38.509 -5.155,-59.272 -3.027,-8.71 3.938,-3.501 7.573,-1.505 7.889,4.217 16.366,9.336 24.255,12.482 z"
id="path34" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 281.418,342.075 c -0.264,0.183 -0.527,0.365 -0.785,0.549 2.375,0.039 4.638,0.587 6.344,2.638 3.824,4.6 1.742,13.093 -5.134,12.885 -6.152,-0.186 -10.642,-6.552 -8.384,-12.419 0.747,-1.941 2.36,-3.768 4.483,-4.207 1.184,-0.245 2.313,0.223 3.476,0.554 z"
id="path36" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 281.559,362.52 c -0.226,0.669 -0.476,1.329 -0.724,1.987 3.878,1.176 7.422,3.962 6.477,8.55 -1.155,5.613 -11.374,8.345 -12.671,1.49 -0.648,-3.425 0.41,-7.248 2.744,-9.682 -0.192,-0.154 -0.368,-0.298 -0.52,-0.432 l 0.114,-0.572 c 0.452,0.014 0.92,0.052 1.397,0.115 0.921,-0.704 1.988,-1.214 3.183,-1.456 z"
id="path38" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 273.868,321.819 0.141,-0.368 c 3.157,-0.576 6.555,-0.64 8.874,2.055 3.738,4.345 0.107,13.443 -6.128,11.501 -3.959,-1.234 -8.424,-6.332 -6.277,-10.661 0.81,-1.631 1.995,-2.285 3.39,-2.527 z"
id="path40" />
<path
style="fill:none;stroke:#ff0000;stroke-width:0.500094"
d="m 278.38,329.04 0.026,-0.005 0.013,-0.013 0.006,-0.01 -0.045,0.028 z"
id="path42" />
<path
style="fill:#767b82;stroke:#676f79;stroke-width:0.500094"
d="m 300.096,326.255 c -0.385,12.457 -0.139,31.878 0.96,38.269 0.427,10.884 0.924,14.981 0.816,18.582 23.806,-3.953 38.885,-1.19 48.696,4.928 16.884,12.628 26.771,23.593 35.84,36.456 4.21,5.795 13.99,28.362 12.441,39.189 8.105,-3.923 19.249,-5.798 30.426,-5.624 1.062,-5.425 7.014,-35.772 -15.674,-64.863 4.968,17.92 10.255,38.332 8.207,57.236 -1.459,4.571 -8.977,5.332 -10.679,1.368 -3.449,-13.224 -2.686,-12.062 -8.768,-20.253 -13.258,-17.709 -24.737,-32.038 -41.375,-44.589 -5.815,-4.631 -7.212,-6.602 -21.212,-11.028 -2.009,-1.067 -9.561,-0.335 -7.148,-8.174 2.079,-7.055 -4.121,-33.797 -6.799,-52.945 -0.077,-7.553 -7.015,-0.787 -8.086,-0.386 -7.15,5.028 -17.499,11.106 -17.645,11.834 z"
id="path44" />
<path
style="fill:#efa417;stroke:#efa417;stroke-width:0.500094"
d="m 368.464,479.697 c 1.592,3.184 6.129,4.788 9.693,5.893 1.866,0.579 4.908,-2.632 8.083,-2.58 3.487,0.057 1.86,-1.873 1.86,-4.87 0,-4.776 -3.728,-3.602 -7.918,-2.884 l -7.159,1.227 c -1.383,0.236 -5.683,1.528 -4.559,3.214 z"
id="path46" />
<path
style="fill:#417c29;stroke:#417c29;stroke-width:0.500094"
d="m 233.268,460.889 c 20.193,-0.267 68.437,-8.591 82.849,-8.965 l 23.804,-0.618 c 6.799,-0.177 16.016,-0.52 29.678,3.4 1.327,0.381 2.183,0.306 2.473,1.855 1.564,8.343 0.23,13.092 -3.401,13.911 -10.305,2.327 -10.548,11.712 -13.911,25.968 -4.472,18.958 -4.935,26.751 -6.214,30.63 -0.337,1.022 -5.611,-1.284 -17.576,2.166 -16.6,2.013 -43.935,2.959 -45.998,3.048 -42.753,1.845 -55.842,5.006 -55.842,-15.706 0,-8.795 -3.022,-55.689 4.138,-55.689 z"
id="path48" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 107.344,580.938 18.791,-35.711 c 2.997,-5.696 7.418,-11.506 14.976,-5.336 3.8,3.102 8.675,7.332 11.853,8.524 6.254,2.346 9.091,6.789 5.388,10.709 -3.077,3.256 -7.076,11.3 -9.635,17.561 -1.957,4.787 -4.355,10.724 -6.101,16.553 -5.061,16.899 -6.809,18.705 -17.285,10.333 l -4.943,-3.949 c -5.047,-4.034 -11.9,-11.919 -13.597,-13.423 -2.134,-1.891 0.981,-4.808 0.553,-5.261 z"
id="path50" />
<path
style="fill:#efa417;stroke:#efa417;stroke-width:0.500094"
d="m 113.135,583.573 c 2.546,3.587 7.105,8.127 10.807,10.555 3.354,2.199 5.306,4.736 9.359,5.018 0.923,0.064 1.736,-2.695 2.486,-4.534 1.091,-2.672 3.105,-11.359 3.439,-12.418 2.1,-6.664 14.43,-25.125 10.274,-28.981 -1.919,-1.781 -5.207,-3.24 -6.942,-4.976 -4.05,-4.049 -8.93,-5.791 -10.992,0.463 -1.175,3.565 -10.451,19.726 -12.959,23.488 -1.762,2.643 -5.588,8.261 -5.472,11.385 z"
id="path52" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 337.347,637.313 c -10.749,4.217 -38.481,12.749 -65.238,3.463 -10.196,11.363 1.019,10.633 12.465,9.824 10.074,-0.713 19.593,-1.061 29.914,-2.506 6.053,-0.847 14.506,0.547 20.672,0.7 4.372,0.107 3.129,-2.12 2.395,-5.504 -0.433,-1.992 -0.387,-3.984 -0.208,-5.977 z"
id="path54" />
<path
style="fill:#b6bcc6;stroke:#b6bcc6;stroke-width:0.500094"
d="m 239.955,568.996 c 2.23,8.911 -0.22,18.478 -4.334,21.548 -3.845,2.717 -2.712,3.582 -1.082,5.289 16.895,17.56 22.452,22.72 36.889,34.886 15.87,10.488 46.415,8.17 64.008,-2.299 1.45,-0.767 2.848,-2.747 2.793,-4.736 -0.633,-22.764 1.465,-55.995 8.341,-84.538 0.776,-3.397 -2.277,-3.083 -2.772,-3.108 -31.698,1.954 -55.738,4.741 -77.736,4.323 -14.084,-0.799 -37.714,3.891 -42.043,-1.983 -6.963,-10.44 -1.362,-26.19 -2.249,-38.7 -1.064,-15.025 -1.458,-36.783 3.14,-42.175 7.005,-8.217 46.451,-5.78 74.215,-11.397 31.608,-3.773 49.453,-3.142 68.912,-1.608 2.865,0.323 11.658,4.022 11.323,8.98 -0.201,4.026 -1.242,8.144 -0.23,12.729 0.804,2.953 1.61,2.361 3.534,2.608 3.334,-0.143 2.737,0.106 4.71,-0.201 2.624,-0.666 0.942,-1.432 1.291,-5.375 0.229,-14.171 -4.722,-33.729 -26.016,-54.322 -21.293,-20.592 -30.799,-20.643 -51.81,-20.334 -38.953,4.217 -60.911,15.299 -76.078,32 -20.909,27.808 -32.34,47.744 -30.032,111.02 0.163,7.889 4.383,12.397 24.472,24.345 l 10.754,13.048 z"
id="path56" />
<path
style="fill:#efa417;stroke:#efa417;stroke-width:0.500094"
d="m 355.62,529.278 c 0.549,-3.005 6.013,-31.122 7.105,-35.477 1.371,-5.465 1.828,-6.428 6.176,-5.192 8.566,2.438 4.819,13.435 4.049,21.132 -1.292,12.917 -2.427,24.425 -3.914,36.21 -2.907,23.042 -7.973,50.453 -13.074,74.879 -2.228,10.67 -2.534,25.814 -5.521,33.163 -0.418,1.03 -3.024,-0.418 -3.495,-2.898 -4.087,-21.524 -1.217,-34.093 -1.217,-55.593 0,-14.063 7.259,-51.817 9.891,-66.224 z"
id="path58" />
<path
style="fill:#f8d222;stroke:#f8d222;stroke-width:0.500094"
d="m 359.828,651.35 c 6.968,-42.449 16.399,-97.964 23.748,-149.613 0.306,-1.943 4.034,-6.907 5.481,-7.48 5.44,-2.914 6.286,-4.589 11.726,-7.503 25.645,1.36 54.178,4.295 79.823,5.655 16.734,5.949 29.794,11.505 40.097,19.029 4.867,2.915 6.504,12.219 2.405,17.895 -8.018,13.159 -17.424,25.551 -25.592,38.584 -19.932,31.8 -39.627,61.582 -65.259,90.194 -3.692,4.604 -11.017,13.695 -20.692,11.889 -13.677,-4.332 -28.85,-5.673 -41.673,-7.227 -7.557,-0.602 -11.054,-7.188 -10.064,-11.423 z"
id="path60" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 482.131,528.976 c -1.24,2.617 -3.135,5.104 -5.427,8.592 -1.732,2.636 -3.56,8.568 0.613,10.55 l 9.027,4.287 c 2.513,1.193 2.638,3.744 3.605,3.714 7.3,-0.23 1.515,-0.835 10.207,-7.899 1.874,-1.522 4.365,-4.669 5.873,-6.478 l 7.763,-9.313 c 8.035,-4.775 3.367,-9.623 -2.964,-12.71 -4.453,-2.172 -12.663,-5.425 -17.849,-5.425 -1.051,0 -3.537,0.906 -4.076,1.679 -2.11,3.025 -4.736,8.702 -6.772,13.003 z"
id="path62" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 392.849,500.413 75.864,3.525 c 3.249,0.151 8.808,1.341 12.127,1.974 2.804,0.536 5.621,2.533 4.794,5.218 -0.786,2.554 -11.571,16.516 -11.986,17.062 -1.95,2.567 -9.215,16.357 -14.101,16.357 -9.165,0 -20.165,-3.95 -29.753,-5.499 -9.622,-1.554 -20.341,-3.103 -30.036,-4.089 -10.1,-1.029 -12.991,-2.183 -11.844,-11.845 0.987,-8.32 -0.706,-20.87 4.935,-22.703 z"
id="path64" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 250.062,205.816 c 0.002,0 0.004,-0.001 0.006,-0.002 0.096,-0.035 0.194,-0.065 0.292,-0.091 5.797,-1.844 11.86,-4.389 18.071,-3.058 5.138,1.101 10.974,5.938 13.68,10.413 3.165,5.232 1.541,11.415 -1.495,16.3 -6.549,10.538 -20.003,18.998 -32.287,14.085 -5.353,-2.141 -10.193,-5.673 -11.845,-11.478 -1.648,-5.789 -0.555,-12.401 3.196,-17.132 l 9.062,-8.196 c 0.006,-0.007 0.014,-0.013 0.021,-0.02 0.119,-0.117 0.246,-0.226 0.382,-0.327 0.011,-0.008 0.023,-0.017 0.034,-0.025 0.019,-0.014 0.037,-0.027 0.056,-0.04 0.006,-0.004 0.011,-0.008 0.017,-0.011 0.045,-0.031 0.089,-0.061 0.135,-0.089 0.012,-0.008 0.025,-0.015 0.038,-0.023 0.197,-0.12 0.405,-0.22 0.618,-0.299 0.006,-0.002 0.012,-0.005 0.019,-0.007 z"
id="path66" />
<path
style="fill:#f8d222;stroke:#f8d222;stroke-width:0.500094"
d="m 300.245,315.651 c 1.574,0.947 2.515,-0.606 10.498,-5.352 7.187,-4.273 15.25,-7.945 20.533,-11.763 7.354,-5.315 25.549,-17.509 24.641,-25.042 -0.642,-5.321 -14.214,10.566 -18.936,14.215 -10.67,8.247 -20.691,18.004 -35.328,24.669 -1.166,0.576 -2.139,2.442 -1.408,3.273 z"
id="path68" />
<path
style="fill:#f8d222;stroke:#f8d222;stroke-width:0.500094"
d="m 238.507,279.676 c 1.909,0.597 6.445,4.889 7.159,5.369 l 6.204,4.176 c 2.387,1.552 8.966,4.859 11.693,6.205 6.46,3.188 14.731,8.157 20.762,12.409 l 9.306,6.562 c 4.417,3.114 -5.012,3.361 -11.096,-0.477 -4.609,-2.907 -13.006,-5.803 -18.733,-9.187 -4.88,-2.884 -14.437,-7.159 -18.494,-10.858 -2.563,-2.337 -5.748,-3.694 -6.682,-7.517 -0.378,-1.546 -2.148,-7.04 -0.119,-6.682 z"
id="path70" />
<path
style="fill:#f8d222;stroke:#f8d222;stroke-width:0.500094"
d="m 244.212,274.334 c 0.191,2.278 5.742,6.266 8.352,7.403 7.403,3.227 15.172,8.003 20.501,10.63 8.148,4.016 13.668,11.39 24.108,11.39 5.505,0 14.502,-7.123 18.982,-10.061 6.075,-3.983 12.067,-8.629 17.084,-13.288 l 15.945,-14.806 c 2.837,-2.634 -1.374,-4.982 -4.745,-7.593 -6.409,-4.963 -10.058,-4.947 -18.983,-10.06 -7.083,-4.059 -19.843,-7.971 -28.473,-6.644 -7.403,1.138 -18.638,6.738 -26.006,10.44 -8.674,4.358 -26.955,14.047 -26.765,22.589 z"
id="path72" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 297.904,545.735 c 2.396,-0.288 4.556,0.259 5.919,2.701 2.06,3.686 -0.232,7.974 -4.483,7.802 -3.554,-0.144 -8.933,-3.863 -6.925,-8.003 1.011,-2.084 3.189,-2.289 5.237,-2.515 0.086,0 0.169,0.005 0.252,0.015 z"
id="path74" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 294.603,560.095 c 0.438,-0.516 1.128,-0.794 1.843,-0.675 3.294,1.113 6.066,4.692 4.64,8.272 -1.198,3.005 -5.618,1.937 -7.535,0.531 -2.1,-1.54 -3.415,-4.711 -1.413,-6.86 0.701,-0.753 1.55,-1.086 2.465,-1.268 z"
id="path76" />
<path
style="fill:none;stroke:none"
d="m 295.859,248.272 -3.157,21.914 c -1.485,10.309 1.044,10.501 1.26,22.27"
id="path80" />
<path
style="fill:#1f2123;stroke:#1f2123;stroke-width:0.500094"
d="m 467.032,370.467 c -19.85,-45.516 -51.177,-74.955 -60.487,-85.241 -0.147,-0.163 -0.29,-0.322 -0.426,-0.475 -5.555,-6.336 -12.057,-13.893 -13.059,-25.558 0.993,-23.854 2.247,-42.934 0.369,-65.301 -1.801,-28.672 -6.944,-59.21 -43.6,-69.757 30.16,20.427 29.66,42.071 32.427,61.447 1.985,24.27 -1.256,56.069 -1.545,71.746 -1.87,20.407 10.016,26.761 14.744,32.878 13.685,14.682 50.186,44.053 71.577,80.261 z"
id="path82" />
<path
style="fill:#efa417;stroke:#efa417;stroke-width:0.500094"
d="m 464.018,508.461 c 1.79,0 13.877,1.413 10.307,7.147 -4.252,6.826 -4.648,9.844 -9.88,16.65 -7.507,9.764 -13.314,5.362 -19.591,4.304 l -23.768,-4.002 c -27.075,-4.561 -25.359,-3.802 -25.359,-8.88 l 0,-12.528 c 17.301,-3.191 36.202,1.265 53.259,-2.884 1.998,-0.461 10.603,-2.459 12.14,-0.922 0.614,0.614 2.485,0.962 2.892,1.115 z"
id="path84" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 310.591,548.58 c 0.421,-1.593 1.319,-2.973 3.257,-3.183 3.292,-0.356 9.214,2.81 8.056,6.832 -0.826,2.867 -5.66,4.193 -8.116,2.885 -1.5,-0.8 -2.313,-2.316 -3.28,-3.642 -0.776,-0.777 -0.776,-2.036 0,-2.812 0.028,-0.028 0.055,-0.054 0.083,-0.08 z"
id="path86" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 314.978,559.301 c 1.714,2.222 2.526,5.314 1.347,7.969 -1.123,2.526 -3.846,2.472 -5.775,0.918 -2.448,-1.972 -3.504,-5.559 -1.937,-8.409 0.902,-1.639 2.286,-2.359 3.948,-3.025 1.059,-0.293 2.155,0.327 2.448,1.385 0.111,0.397 0.091,0.799 -0.031,1.162 z"
id="path88" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 296.969,549.787 -0.762,0.101 -0.149,0.064 -0.024,0.014 0.015,0.074 0.118,0.279 c 0.528,0.909 4.496,3.388 4.448,1.075 -0.034,-1.639 -1.255,-2.032 -2.614,-1.707 -0.341,0.129 -0.696,0.157 -1.032,0.1 z"
id="path90" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 296.355,563.842 -1.091,0.136 -0.125,0.049 -0.014,0.007 0.005,0.026 0.082,0.191 c 0.485,0.837 1.345,1.21 2.253,1.363 l -0.064,-0.264 c -0.22,-0.528 -0.484,-1.097 -0.887,-1.516 -0.052,0.005 -0.105,0.008 -0.159,0.008 z"
id="path92" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 325.735,547.45 c 2.512,-3.106 8.664,-3.632 10.675,0.448 1.113,2.258 0.132,5.033 -2.494,5.421 -1.802,0.266 -3.656,-0.584 -5.293,-1.182 l -0.453,-0.175 -0.077,-0.028 c -1.058,-0.045 -1.901,-0.916 -1.901,-1.986 0,-0.004 10e-4,-0.007 10e-4,-0.01 -0.715,-0.6 -0.929,-1.641 -0.458,-2.488 z"
id="path94" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 330.829,558.627 c 2.469,1.107 6.172,2.711 5.033,5.892 -1.471,4.106 -8.986,4.958 -10.499,0.49 -0.97,-2.866 0.848,-5.174 3.004,-6.765 0.824,-0.475 1.847,-0.293 2.462,0.383 z"
id="path96" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 270.164,546.402 c 4.619,-1.875 11.107,-0.078 13.616,4.334 3.166,5.567 4.467,12.057 -1.42,16.231 -5.239,3.714 -14.483,3.822 -18.817,-1.429 -4.008,-4.856 -3.843,-12.723 1.146,-16.827 1.685,-1.386 3.495,-1.985 5.475,-2.309 z"
id="path98" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 267.302,556.065 c -0.123,0.483 -0.16,1.019 -0.089,1.608 0.684,5.661 7.078,6.884 11.448,3.938 3.969,-2.675 -0.421,-9.713 -4.77,-9.419 -0.035,0.021 -0.07,0.042 -0.106,0.061 1.06,0.754 1.606,2.106 1.271,3.444 -0.436,1.744 -2.202,2.804 -3.946,2.368 -1.231,-0.445 -2.677,-1.094 -3.808,-2 z"
id="path100" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 314.265,550.164 c 0.558,0.884 1.21,1.628 2.302,1.336 l 1.17,-0.385 -0.125,-0.195 -0.777,-0.741 -0.912,-0.556 c -1.298,-0.666 -1.573,-0.493 -1.658,0.541 z"
id="path102" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 312.107,561.91 c -0.448,0.921 -0.245,2.067 0.686,2.959 l 0.006,-0.231 c -0.09,-0.906 -0.214,-1.927 -0.692,-2.728 z"
id="path104" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 331.605,548.968 c 0.264,0.096 0.51,0.183 0.73,0.246 l 0.268,0.06 c -0.226,-0.183 -0.588,-0.276 -0.998,-0.306 z"
id="path106" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 329.538,562.469 c -0.456,0.535 -0.812,1.194 -0.249,1.513 0.617,0.35 1.63,-0.199 2.201,-0.501 l -1.952,-1.012 z"
id="path108" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 253.347,563.463 c -0.005,0.891 -0.374,1.778 -1.093,2.417 -4.581,3.154 -9.342,5.848 -15.118,4.294 -8.297,-2.233 -12.905,-12.736 -8.108,-20.108 4.297,-6.603 12.28,-5.68 18.964,-4.055 6.926,1.685 6.809,9.464 6.065,15.006 -0.111,0.827 -0.347,1.671 -0.71,2.446 z"
id="path110" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 245.615,557.205 1.955,1.829 0.173,0.129 c 0.369,-2.508 1.144,-6.356 -2.013,-7.049 -4.155,-0.912 -10.961,-2.255 -12.048,3.552 -0.648,3.462 1.699,7.306 5.124,8.218 1.523,0.405 2.936,0.267 4.272,-0.171 -0.713,-0.645 -1.394,-1.312 -2.065,-1.906 -1.271,-1.271 -1.271,-3.331 0,-4.602 1.271,-1.27 3.331,-1.27 4.602,0 z"
id="path112" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 248.165,498.513 9.59,-5.826 c 5.089,-2.321 10.436,-1.122 15.858,-1.833 5.609,-0.735 12.658,-3.012 13.985,-9.454 0.905,-4.396 1.957,-10.386 -0.558,-14.532 -4.122,-6.792 -14.222,-5.811 -20.805,-5.094 -8.498,0.925 -17.133,4.004 -23.302,10.112 -4.848,4.802 -6.515,10.098 -8.147,16.164 -1.436,4.793 -0.679,10.423 4.714,12.833 3.608,1.613 6.177,-0.82 8.665,-2.37 z"
id="path114" />
<path
style="fill:#adeca8;stroke:#adeca8;stroke-width:0.500094"
d="m 243.091,493.939 c -2.522,1.479 -1.809,-3.165 -1.428,-4.431 1.467,-5.428 2.725,-9.551 6.491,-13.262 7.051,-6.946 17.425,-8.622 26.914,-8.729 1.566,-0.018 6.883,0.236 7.2,2.857 0.376,3.109 1.222,9.121 -1.906,11.536 -4.483,3.463 -11.499,2.193 -16.693,2.52 -6.009,0.377 -16.307,5.274 -20.578,9.509 z"
id="path116" />
<path
style="fill:#d2f799;stroke:#d2f799;stroke-width:0.500094"
d="m 354.161,499.012 c -0.272,1.187 -0.527,2.326 -0.768,3.421 -0.338,0.189 -0.727,0.297 -1.143,0.297 -4.945,0.382 -11.024,2.019 -16,0.841 -4.57,-1.082 -11.365,-1.696 -15.436,1.133 -2.722,1.891 -5.949,2.138 -7.052,-1.628 l -0.302,-1.363 -0.251,-0.916 -0.048,-0.099 -0.203,-0.012 -0.281,0.021 c -3.75,0.506 -4.53,8.779 -9.192,7.069 -1.632,-0.599 -2.74,-2.446 -3.184,-4.04 l -2.815,-13.974 -2.945,7.099 -5.55,13.378 -0.123,0.27 c -0.657,1.512 -2.907,2.17 -4.084,0.831 -2.1,-2.391 -1.432,-6.373 -3.136,-9.1 -0.732,-1.172 -1.882,-0.377 -2.554,0.552 -2.409,3.338 -2.384,10.958 -8.461,9.154 -3.411,-1.013 -5.846,-4.166 -8.86,-5.908 -1.083,-0.626 -2.643,0.76 -3.517,1.042 -1.625,0.524 -3.309,0.828 -5.013,0.927 l -24.278,1.003 c -0.013,0.001 -0.025,0.001 -0.038,0.001 -0.048,-1.448 -0.101,-3.025 -0.153,-4.697 l 24.469,-1.007 c 3.394,-0.178 6.875,-3.345 10.27,-1.635 3.025,1.522 5.209,4.17 8.199,5.667 l 0.3,0.114 0.073,0.017 0.066,-0.092 0.271,-0.546 0.649,-1.846 c 1.467,-4.016 4.782,-10.124 10.106,-7.549 2.026,0.98 3.155,3.19 3.842,5.287 l 3.18,-7.664 5.549,-13.374 0.195,-0.393 c 0.834,-1.772 3.416,-1.502 4.306,0.008 0.84,1.425 1.067,3.552 1.349,5.074 l 1.847,10.588 1.244,5.087 0.044,0.1 c 2.301,-3.184 5.273,-7.203 9.794,-5.979 1.446,0.391 2.517,1.517 3.053,2.898 l 0.474,1.651 0.034,0.156 c 2.411,-1.603 4.47,-2.192 7.402,-2.454 l 2.697,-0.205 5.26,-0.01 4.167,0.903 -0.189,-0.091 0.262,0.043 0.549,0.036 0.749,0.003 13.265,-1.071 c 0.788,0 1.485,0.386 1.911,0.982 z"
id="path118" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 278.606,344.29 c -1.223,1.27 -1.84,2.793 -0.933,5.004 0.926,2.258 2.897,2.929 5.159,2.419 1.802,-0.407 1.022,-3.574 0.275,-4.557 -1.003,-1.318 -2.834,-2.127 -4.501,-2.866 z"
id="path120" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 275.57,323.019 c -1.01,1.039 -2.043,2.361 -1.315,3.471 0.678,1.033 1.636,1.807 2.78,2.284 l 0.666,0.221 0.525,0.075 0.154,-0.03 -0.015,0.009 -0.166,0.107 -0.186,0.165 0.129,-0.02 0.264,-0.266 0.073,-0.014 -0.015,-0.033 -0.039,0.024 0.297,-0.475 c 1.486,-2.902 -0.87,-4.241 -3.152,-5.518 z"
id="path122" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 279.961,366.995 c -0.52,1.717 -0.801,3.474 -0.377,5.381 l 0.215,0.479 0.098,0.115 0.068,0.028 0.018,-0.048 -0.044,-0.096 -0.093,-0.107 c -0.575,-0.601 2.303,-0.597 2.453,-1.441 0.268,-1.507 -0.934,-3.019 -2.338,-4.311 z"
id="path124" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 388.055,491.931 c 7.415,11.614 8.662,20.574 14.84,31.834 10.514,16.263 28.007,11.836 31.437,10.404 11.73,-5.163 20.5,-17.082 36.88,-28.388 7.006,-6.081 10.829,-15.698 8.995,-19.834 l -83.308,0.82 -8.844,5.164 z"
id="path126" />
<path
style="fill:#424a53;stroke:#424a53;stroke-width:0.500094"
d="m 413.013,523.761 c -1.306,-0.979 -5.262,-8.427 -6.448,-11.599 -2.689,-7.194 -9.113,-16.83 -9.113,-24.883 0,-8.272 -3.863,-12.212 7.597,-16.81 12.486,-5.009 26.287,-6.181 40.838,-4.396 8.287,1.016 20.914,4.329 25.404,10.903 3.275,4.795 -1.478,18.075 -4.536,20.911 l -17.767,16.482 c -3.862,3.582 -12.447,11.546 -19.63,11.546 -2.612,0 -10.924,1.2 -16.345,-2.154 z"
id="path128" />
<path
style="fill:#efa417;stroke:#efa417;stroke-width:0.500094"
d="m 497.371,520.641 c -4.763,0.772 -11.951,14.588 -14.838,19.526 -3.306,5.657 8.621,8.418 10.232,7.836 4.678,-1.689 7.925,-7.893 8.963,-9.035 2.214,-2.431 10.48,-11.767 7.515,-13.185 -5.084,-2.431 -6.622,-4.793 -11.872,-5.142 z"
id="path130" />
<path
style="fill:none;stroke:none"
d="m 253.108,272.087 c 5.49,-0.845 -0.845,5.912 -0.845,0.422"
id="path134" />
<path
style="fill:none;stroke:none"
d="m 264.51,280.111 c 1.267,-1.056 3.59,-2.956 3.167,0.211 -0.422,2.956 -4.223,-0.634 -2.322,-1.478"
id="path138" />
<path
style="fill:none;stroke:none"
d="m 276.757,287.923 c 0,-8.235 1.056,4.857 0,0.423 -0.422,-1.689 -4.434,1.056 -0.845,-3.168"
id="path142" />
<path
style="fill:none;stroke:none"
d="m 302.307,291.302 c -0.845,-2.745 3.8,-1.689 5.067,-0.422 1.69,1.689 -1.478,4.012 -3.378,1.478"
id="path146" />
<path
style="fill:none;stroke:none"
d="m 318.777,282.645 c 0,-1.69 2.534,-4.012 4.223,-2.534 1.478,1.267 -3.167,3.589 -3.167,1.689"
id="path150" />
<path
style="fill:none;stroke:none"
d="m 338.414,269.342 c -7.39,-2.323 6.546,0.844 -0.211,0.844"
id="path154" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 258.581,132.113 0.295,-1.44 0.068,-0.213 c 0.13,-1.175 1.126,-2.09 2.335,-2.09 1.298,0 2.351,1.053 2.351,2.351 l -0.295,1.44 -0.067,0.209 c -0.128,1.178 -1.126,2.094 -2.337,2.094 -1.298,0 -2.35,-1.053 -2.35,-2.351 z"
id="path156" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 248.739,141.528 c -1.601,-1.055 -3.019,-2.672 -1.344,-4.398 0.638,-0.657 1.543,-0.682 2.394,-0.751 1.298,0 2.35,1.052 2.35,2.35 0,0.118 -0.008,0.235 -0.025,0.348 0.07,0.462 0.002,0.949 -0.222,1.398 -0.58,1.162 -1.992,1.633 -3.153,1.053 z"
id="path158" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 273.344,131.02 c -1.546,-0.923 -4.112,-2.434 -2.777,-4.654 0.924,-1.537 2.721,-1.426 4.292,-1.478 1.298,0 2.35,1.053 2.35,2.351 0,0.479 -0.143,0.926 -0.39,1.297 0.103,0.533 0.022,1.102 -0.268,1.61 -0.644,1.127 -2.08,1.518 -3.207,0.874 z"
id="path160" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 284.175,129.549 c -2.051,-0.676 -4.142,-1.562 -3.837,-4.14 0.318,-2.692 4.103,-4.802 6.369,-3.022 1.622,1.274 0.924,3.253 0.351,4.858 -0.033,0.067 -0.069,0.132 -0.107,0.194 -0.007,0.077 -0.018,0.154 -0.033,0.232 -0.239,1.276 -1.467,2.117 -2.743,1.878 z"
id="path162" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 295.985,129.891 c -2.559,-0.803 -5.481,-2.802 -3.529,-5.832 2.278,-3.537 6.42,-1.396 6.688,2.135 0,0.455 -0.129,0.88 -0.353,1.24 0.013,0.201 10e-4,0.407 -0.04,0.614 -0.255,1.273 -1.493,2.098 -2.766,1.843 z"
id="path164" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 304.59,127.023 c -1.264,-1.217 -2.255,-2.819 -0.603,-4.278 2.369,-2.09 7.775,0.524 7.487,3.692 -0.203,2.232 -2.984,2.327 -4.582,2.455 -1.134,0 -2.08,-0.801 -2.302,-1.869 z"
id="path166" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 323.257,130.982 c -2.279,-0.159 -6.378,-1.101 -4.858,-4.386 0.991,-2.14 4.238,-2.946 6.174,-1.692 1.238,0.802 1.592,1.996 1.73,3.379 0,1.059 -0.701,1.956 -1.664,2.249 -0.388,0.283 -0.865,0.45 -1.382,0.45 z"
id="path168" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 347.404,135.83 c 1.612,-0.767 3.365,-1.131 4.585,0.341 2.392,2.885 -2.249,7.396 -5.332,6.304 -0.484,-0.172 -0.87,-0.424 -1.178,-0.735 -0.61,-0.108 -1.176,-0.456 -1.546,-1.011 -0.639,-0.96 -0.481,-2.217 0.32,-2.991 0.145,-1.159 1.134,-2.056 2.332,-2.056 0.288,0 0.563,0.053 0.819,0.148 z"
id="path170" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 333.793,128.576 c 0.106,-0.45 0.326,-0.886 0.643,-1.264 0.917,-0.917 2.405,-0.917 3.323,0 0.114,0.114 0.213,0.237 0.298,0.366 l 0.526,0.32 c 5.453,4.065 -5.784,10.141 -7.365,4.423 -0.584,-2.109 0.855,-3.252 2.575,-3.845 z"
id="path172" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 354.483,150.534 c -1.804,-0.746 -3.844,-2.061 -2.933,-4.384 1.936,-4.935 11.861,-1.797 9.861,3.125 -1.051,2.586 -4.114,2.004 -6.278,1.367 -0.216,-0.005 -0.433,-0.04 -0.65,-0.108 z"
id="path174" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 360.204,158.846 c -0.105,-2.008 0.136,-4.362 2.498,-4.857 3.39,-0.711 8.413,5.566 5.38,8.381 -2.079,1.931 -5.001,-0.248 -6.843,-1.316 -0.755,-0.503 -1.125,-1.365 -1.035,-2.208 z"
id="path176" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 365.681,173.358 c -1.285,-1.236 -3.668,-3.339 -2.048,-5.343 2.096,-2.594 8.802,-1.036 8.998,2.547 0.132,2.399 -2.365,2.849 -4.335,3.002 -0.808,0.455 -1.843,0.405 -2.615,-0.206 z"
id="path178" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 366.97,179.521 c 0.668,-1.088 1.676,-1.799 3.206,-1.515 3.973,0.735 4.505,7.545 0.586,8.807 -1.584,0.509 -2.862,0.193 -3.827,-0.585 -0.49,-0.268 -0.875,-0.705 -1.074,-1.234 -0.569,-0.907 -0.927,-2.018 -1.07,-3.13 0,-1.24 0.961,-2.256 2.179,-2.343 z"
id="path180" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 366.882,195.121 c 10e-4,-0.008 0.003,-0.016 0.004,-0.024 -0.889,-1.11 -1.154,-2.552 -1.171,-3.948 0.08,-1.296 1.194,-2.282 2.49,-2.202 0.692,0.042 1.294,0.381 1.695,0.883 0.619,-0.226 1.356,-0.221 2.233,0.125 2.109,0.832 3.322,3.976 1.812,5.832 -0.724,0.89 -1.832,1.239 -2.977,1.206 -0.502,0.564 -1.264,0.875 -2.067,0.768 -1.287,-0.171 -2.191,-1.353 -2.019,-2.64 z"
id="path182" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 366.711,243.253 c -2.14,-1.948 -2.704,-4.666 10e-4,-6.518 3.673,-2.514 8.917,1.307 7.159,5.589 -0.739,1.801 -2.816,2.734 -4.647,2.049 -0.488,-0.183 -0.883,-0.437 -1.205,-0.746 -0.448,0.007 -0.902,-0.113 -1.308,-0.374 z"
id="path184" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 363.062,253.311 c 0.952,-2.018 2.271,-4.97 5.094,-3.857 3.461,1.365 4.881,8.753 1.239,10.632 -2.308,1.191 -4.24,-0.67 -5.954,-2.015 -0.824,-0.823 -0.909,-2.105 -0.255,-3.022 -0.254,-0.523 -0.314,-1.141 -0.124,-1.738 z"
id="path186" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 364.477,277.674 c -0.51,-1.449 -0.608,-2.979 1.097,-3.86 2.79,-1.442 7.426,1.83 6.267,4.997 -0.809,2.211 -3.385,2.253 -5.347,2.42 -1.298,0 -2.35,-1.052 -2.35,-2.35 0,-0.442 0.121,-0.854 0.333,-1.207 z"
id="path188" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 368.32,291.409 c 0.229,-2.722 1.853,-4.523 4.755,-4.29 2.14,0.172 5.586,1.881 5.324,4.485 -0.082,0.812 -0.473,1.503 -1.105,1.974 -0.897,2.04 -3.853,3.126 -5.815,1.565 -0.436,-0.346 -0.755,-0.844 -0.913,-1.386 -1.25,-0.055 -2.246,-1.085 -2.246,-2.348 z"
id="path190" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 377.875,299.502 c 1.985,-2.181 5.125,-4.315 8.258,-3.062 4.838,1.933 2.627,6.95 -1.028,8.511 -1.377,0.588 -3.667,0.859 -4.576,-0.729 l -0.482,-0.954 -0.009,-0.031 c -0.135,-0.074 -0.263,-0.16 -0.38,-0.258 -0.297,-0.035 -0.592,-0.127 -0.869,-0.281 -1.135,-0.63 -1.544,-2.061 -0.914,-3.196 z"
id="path192" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 392.26,312.253 c -0.088,-0.028 -0.176,-0.057 -0.265,-0.088 -0.489,-0.021 -0.978,-0.067 -1.465,-0.124 -1.004,-0.287 -1.669,-1.185 -1.704,-2.175 -0.354,-0.697 -0.408,-1.54 0.029,-2.546 1.312,-3.02 5.725,-3.056 7.872,-1.031 1.485,1.401 1.599,3.812 -0.297,4.949 -0.871,0.523 -1.805,0.784 -2.763,0.887 -0.43,0.181 -0.917,0.235 -1.407,0.128 z"
id="path194" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 416.208,335.904 c -1.787,-1.168 -5.454,-3.337 -3.163,-5.92 1.933,-2.177 6.675,-0.549 8.742,0.445 1.594,0.766 3.03,2.821 1.53,4.446 -1.343,1.456 -3.449,1.223 -5.255,1.33 -0.12,0 -0.237,-0.008 -0.352,-0.025 -0.5,0.076 -1.027,-0.009 -1.502,-0.276 z"
id="path196" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 399.133,321.246 c 0.205,-2.1 1.113,-4.444 3.573,-4.702 2.95,-0.308 7.779,2.181 5.944,5.797 -1.292,2.546 -4.799,2.423 -7.167,2.585 -1.298,0 -2.35,-1.052 -2.35,-2.35 0,-0.231 0.033,-0.453 0.095,-0.664 -0.062,-0.211 -0.095,-0.435 -0.095,-0.666 z"
id="path198" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 426.369,342.627 c 1.879,-3.041 6.734,-5.137 8.725,-0.834 1.964,4.248 -2.58,8.094 -6.659,6.823 -1.794,-0.559 -2.773,-1.822 -3.223,-3.558 -0.167,-1 0.324,-1.958 1.157,-2.431 z"
id="path200" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 438.823,359.598 c -2.112,-2.05 -2.069,-5.044 0.695,-6.536 2.849,-1.537 10.774,-2.028 9.626,3.426 -0.612,2.904 -4.519,5.618 -7.434,4.246 -0.372,-0.174 -0.685,-0.389 -0.947,-0.633 -0.665,0.125 -1.379,-0.036 -1.94,-0.503 z"
id="path202" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 449.504,367.991 c 0.459,-0.329 1.067,-0.468 1.873,-0.309 0.257,0.051 0.493,0.131 0.711,0.237 0.937,0.219 1.782,0.744 2.377,1.668 1.118,1.733 0.507,3.586 0.005,5.409 -0.472,1.209 -1.835,1.807 -3.044,1.335 -0.049,-0.019 -0.097,-0.04 -0.143,-0.062 -0.622,0.139 -1.337,0.049 -2.137,-0.361 -2.418,-1.242 -5.124,-4.361 -2.165,-6.683 0.713,-0.56 1.597,-0.997 2.523,-1.234 z"
id="path204" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 460.887,383.928 c 3.505,0.279 5.866,5.971 1.895,7.43 -0.798,0.293 -1.833,0.308 -2.896,0.097 -0.212,0.063 -0.435,0.097 -0.668,0.097 -0.841,0 -1.58,-0.443 -1.995,-1.108 -1.795,-1.054 -3.056,-2.75 -2.397,-4.745 0.655,-1.985 2.585,-2.299 4.392,-2.467 0.653,0 1.243,0.266 1.669,0.696 z"
id="path206" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 467.474,406.964 c -1.798,-0.783 -4.577,-1.752 -4.449,-4.19 0.243,-4.596 7.857,-3.291 9.328,-0.216 0.799,1.672 -0.362,3.365 -1.397,4.581 -0.917,0.918 -2.406,0.918 -3.323,0 -0.056,-0.056 -0.11,-0.115 -0.159,-0.175 z"
id="path208" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 471.216,427.057 c -0.902,0.345 -1.961,0.109 -2.624,-0.667 -1.052,-1.672 -2.519,-4.08 -0.899,-5.961 3.011,-3.497 11.708,-1.604 9.869,3.93 -0.874,2.629 -3.395,3.192 -5.823,2.897 -0.185,-0.046 -0.361,-0.114 -0.523,-0.199 z"
id="path210" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 475.942,438.485 c 1.04,0.598 1.771,1.552 1.635,3.044 -0.365,3.995 -4.595,6.317 -8.19,4.632 -2.125,-0.996 -2.207,-3.938 -1.071,-5.677 1.332,-2.04 2.826,-3.25 5.14,-3.829 1.185,-0.098 2.236,0.701 2.486,1.83 z"
id="path212" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 471.258,456.124 c 0.386,-0.77 1.183,-1.299 2.104,-1.299 0.102,0.012 0.202,0.024 0.303,0.04 0.752,-0.142 1.498,0.094 2.029,0.584 1.757,0.801 2.979,2.317 2.715,4.613 -0.475,4.132 -7.629,3.931 -8.864,0.393 -0.687,-1.966 0.309,-3.341 1.713,-4.331 z"
id="path214" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 365.05,136.106 -0.139,0.028 c -1.915,0.308 -2.917,-4.254 -0.852,-4.624 l 0.028,-0.004 0.013,-0.002 0.237,-0.046 0.543,-0.107 c 0.244,-0.049 0.487,-0.057 0.721,-0.031 l 0.122,-0.014 c 1.298,0 2.35,1.052 2.35,2.35 0,1.298 -1.052,2.35 -2.35,2.35 l -0.243,0.031 -0.43,0.069 z m -0.934,-4.603 0.138,-0.017 -0.077,0.007 -0.061,0.01 z"
id="path216" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 369.676,143.446 c -0.73,-1.424 -1.183,-3.038 0.349,-4.226 2.433,-1.888 7.486,0.678 7.014,3.865 -0.369,2.494 -3.007,2.667 -5.016,2.848 -1.298,0 -2.351,-1.052 -2.351,-2.35 0,-0.046 0.002,-0.091 0.004,-0.137 z"
id="path218" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 377.559,149.633 c 2.461,0.216 5.758,1.845 3.748,4.84 -2.11,3.146 -5.866,0.999 -6.098,-2.108 0,-0.065 0.002,-0.128 0.007,-0.191 -0.005,-0.062 -0.007,-0.127 -0.007,-0.191 0,-1.298 1.052,-2.35 2.35,-2.35 z"
id="path220" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 379.959,162.946 c 1.656,-2.842 7.349,-4.245 7.766,0.257 0.217,2.345 -1.576,3.53 -3.67,3.906 l -0.78,0.056 -0.127,0.004 c -0.834,0.31 -1.808,0.128 -2.478,-0.543 -0.444,-0.587 -0.645,-1.201 -0.64,-1.772 -0.282,-0.576 -0.328,-1.266 -0.071,-1.908 z"
id="path222" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 383.505,173.306 c 0.408,-0.464 0.965,-0.796 1.716,-0.895 2.871,-0.379 5.553,2.274 4.671,5.22 -0.998,3.337 -5.939,2.471 -7.795,0.519 -0.68,-0.716 -0.877,-1.557 -0.97,-2.495 0,-1.298 1.052,-2.35 2.35,-2.35 0.01,0 0.018,0.001 0.028,0.001 z"
id="path224" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 382.848,189.533 c -0.692,-2.067 -0.586,-4.342 1.826,-5.219 2.908,-1.057 6.147,1.237 6.537,4.197 0.597,4.515 -5.16,4.508 -7.677,2.551 -0.426,-0.425 -0.655,-0.972 -0.686,-1.529 z"
id="path226" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 385.826,251.827 c 0.448,-0.282 0.994,-0.415 1.56,-0.34 2.588,0.689 4.562,2.821 3.173,5.606 -1.853,3.717 -9.312,3.173 -9.144,-1.467 0.081,-2.231 2.086,-3.249 3.969,-3.759 0.149,-0.028 0.296,-0.04 0.442,-0.04 z"
id="path228" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 386.265,263.315 c 0.335,-0.124 0.705,-0.173 1.085,-0.128 2.768,0.504 5.201,2.744 2.977,5.488 -1.55,1.913 -5.537,3.367 -7.431,1.148 -1.53,-1.793 -0.309,-3.859 0.604,-5.606 0.615,-0.922 1.768,-1.269 2.765,-0.902 z"
id="path230" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 397.797,276.344 c 0.283,0.115 0.55,0.287 0.779,0.517 1.776,2.071 2.07,4.986 -0.942,6.03 -2.414,0.836 -6.668,-0.274 -6.38,-3.45 0.19,-2.092 2.279,-2.926 3.902,-3.74 0.953,-0.378 2.005,-0.089 2.641,0.643 z"
id="path232" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 406.747,287.629 c 1.08,1.17 2.031,2.637 0.739,4.121 -1.914,2.197 -6.854,0.34 -7.576,-2.23 -0.801,-2.85 2.146,-3.659 4.282,-4.053 1.292,-0.117 2.435,0.836 2.552,2.129 10e-4,0.011 0.002,0.022 0.003,0.033 z"
id="path234" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 412.436,296.028 c 0.519,-0.573 1.31,-0.88 2.129,-0.744 0.249,0.042 0.48,0.121 0.693,0.231 1.15,0.091 2.087,1.018 2.157,2.203 0.073,1.233 -0.819,2.301 -2.022,2.467 -0.938,1.183 -2.313,1.838 -3.894,0.742 -1.431,-0.991 -1.405,-3.103 -0.147,-4.23 0.334,-0.299 0.698,-0.511 1.084,-0.669 z"
id="path236" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 424.19,304.681 c 0.203,0.103 0.399,0.224 0.582,0.359 0.918,0.917 0.918,2.406 0,3.323 -0.127,0.128 -0.266,0.239 -0.413,0.33 l -0.242,0.261 c -2.285,2.125 -7.717,-1.007 -5.61,-4.009 0.935,-1.333 2.532,-1.156 3.947,-1.031 0.688,0 1.306,0.296 1.736,0.767 z"
id="path238" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 425.898,314.312 c 0.185,-0.588 0.599,-1.104 1.194,-1.401 0.692,-0.345 1.472,-0.317 2.113,0.008 1.012,0.178 1.825,1.009 1.931,2.082 0.084,0.84 -0.287,1.622 -0.913,2.101 -0.393,0.75 -1.151,1.242 -2.365,1.144 -1.934,-0.158 -2.884,-2.345 -1.96,-3.934 z"
id="path240" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 435.675,320.542 c 0.082,-0.038 0.168,-0.072 0.255,-0.102 1.232,-0.41 2.562,0.256 2.972,1.488 0.528,2.539 -0.813,5.337 -3.869,4.182 -2.886,-1.091 -2.514,-4.572 0.198,-5.502 0.149,-0.037 0.297,-0.058 0.444,-0.066 z"
id="path242" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 445.007,334.777 -0.167,0.037 c -0.586,0.573 -1.399,0.778 -2.147,0.614 -1.271,0.116 -2.358,-0.497 -2.781,-1.725 -0.563,-1.638 1.098,-2.364 2.597,-2.923 l -0.176,-0.027 -0.231,0.013 -0.037,0.004 0.215,-0.061 0.377,-0.097 0.336,-0.011 c 0.375,-0.139 0.721,-0.272 0.995,-0.412 1.267,-0.282 2.522,0.517 2.804,1.784 0.281,1.267 -0.518,2.522 -1.785,2.804 z"
id="path244" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 450.342,340.548 c 1.425,-0.225 3.788,0.036 3.482,2.21 -0.224,1.591 -1.118,2.111 -2.484,2.468 -1.231,0.41 -2.562,-0.256 -2.972,-1.488 -0.302,-0.906 -0.021,-1.868 0.64,-2.475 0.341,-0.366 0.802,-0.626 1.334,-0.715 z"
id="path246" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 460.356,355.597 -0.009,0.25 c 0,1.298 -1.052,2.35 -2.35,2.35 -1.298,0 -2.35,-1.052 -2.35,-2.35 0,-0.002 0,-0.003 0,-0.005 -0.085,-0.243 -0.13,-0.502 -0.13,-0.774 0,-0.511 0.162,-0.984 0.438,-1.369 0.364,-1.387 1.147,-2.565 2.921,-2.084 2.102,0.571 2.299,2.497 1.48,3.982 z"
id="path248" />
<path
style="fill:#363a40;stroke:#363a40;stroke-width:0.500094"
d="m 253.064,212.441 c -5.171,4.318 -10.465,7.777 -10.015,15.252 0.375,6.204 7.982,11.066 13.918,10.177 7.031,-1.054 13.803,-6.459 17.537,-12.357 1.513,-2.39 3.1,-5.964 1.417,-8.698 -1.686,-2.738 -5.801,-6.44 -9.071,-7.093 -4.592,-0.918 -9.509,1.309 -13.786,2.719 z"
id="path250" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 257.655,218.342 c 0.316,-0.529 0.892,-0.885 1.553,-0.885 4.255,-0.088 8.971,-0.311 9.321,4.987 0.35,5.292 -4.997,8.682 -9.95,7.972 -3.979,-0.57 -6.853,-4.746 -5.027,-8.579 0.897,-1.881 2.39,-2.854 4.103,-3.495 z"
id="path252" />
<path
style="fill:#676f79;stroke:#676f79;stroke-width:0.500094"
d="m 261.148,221.058 c -1.818,0.434 -5.856,1.65 -4.226,4.412 1.493,2.531 5.837,1.327 7.435,-0.466 0.595,-0.668 1.069,-2.976 0.261,-3.602 -0.684,-0.529 -1.77,-0.596 -2.852,-0.539 -0.187,0.099 -0.395,0.167 -0.618,0.195 z"
id="path254" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 339.682,197.571 c 1.215,0.322 2.419,0.677 3.6,1.078 l 0.447,0.078 c 0.22,0.081 0.427,0.183 0.62,0.299 5.77,2.13 10.813,5.503 13.201,11.816 3.645,9.638 -1.143,20.015 -10.924,23.128 -9.795,3.118 -21.6,-0.139 -29.443,-6.387 -7.77,-6.189 -6.575,-17.605 1.339,-23.199 5.546,-3.921 11.631,-6.957 18.577,-6.822 l 0.935,0.165 c 0.514,-0.173 1.075,-0.235 1.648,-0.156 z"
id="path256" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 367.648,208.65 c -0.828,-1.835 -1.187,-4.404 1.262,-5.25 3.401,-1.173 8.014,1.285 8.553,4.948 0.465,3.162 -3.471,4.728 -5.774,2.88 l -0.593,-0.542 -0.14,-0.154 c -0.578,-0.05 -1.127,-0.313 -1.528,-0.75 -0.713,-0.072 -1.383,-0.47 -1.78,-1.132 z"
id="path258" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 390.23,199.555 c 1.369,0.808 2.247,2.179 1.193,3.934 -1.386,2.308 -4.828,3.856 -6.935,1.592 -2.861,-3.076 0.102,-6.767 3.509,-7.144 1.042,0 1.925,0.679 2.233,1.618 z"
id="path260" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 389.354,226.668 c 0.194,0.04 0.387,0.105 0.573,0.198 1.94,1.137 3.546,3.258 1.817,5.377 -1.657,2.033 -5.761,2.287 -7.14,-0.19 -1.091,-1.96 0.14,-3.683 1.202,-5.303 0.828,-1 2.309,-1.14 3.309,-0.312 0.086,0.072 0.165,0.149 0.239,0.23 z"
id="path262" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 387.935,238.324 c 1.766,0.591 2.974,2.125 2.714,4.332 -0.485,4.124 -6.678,2.461 -7.65,-0.568 -0.778,-2.424 0.721,-4.151 2.891,-4.897 0.88,-0.176 1.738,0.32 2.045,1.133 z"
id="path264" />
<path
style="fill:#363a40;stroke:#363a40;stroke-width:0.500094"
d="m 341.837,205.679 c -0.206,-0.037 -0.411,-0.093 -0.613,-0.168 -8.084,-1.745 -19.919,1.53 -22.156,10.598 -0.913,3.702 3.72,7.021 6.318,8.344 5.656,2.881 12.88,4.524 19.114,2.605 6.725,-2.07 9.018,-9.937 5.181,-15.739 -1.977,-2.989 -4.74,-4.555 -7.844,-5.64 z"
id="path266" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 365.999,225.171 c -0.748,-0.677 -1.119,-1.572 -0.487,-2.786 1.09,-2.093 4.368,-1.388 6.141,-1.099 1.565,0.255 3.25,1.189 2.963,3.028 -0.34,2.178 -3.015,2.23 -5.019,2.25 -0.292,0.063 -0.6,0.072 -0.907,0.016 -0.189,0.008 -0.364,0.018 -0.521,0.035 -0.977,0 -1.816,-0.595 -2.17,-1.444 z"
id="path268" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 385.323,213.679 c 0.285,-0.221 0.619,-0.373 0.974,-0.444 0.344,-0.127 0.702,-0.23 1.064,-0.312 1.161,-0.11 2.203,0.643 2.493,1.734 1.519,1.098 2.718,2.616 1.919,4.525 -1.616,3.856 -8.376,2.319 -8.613,-1.73 -0.107,-1.816 0.829,-3.026 2.163,-3.773 z"
id="path270" />
<path
style="fill:#000000;stroke:#000000;stroke-width:0.500094"
d="m 335.279,211.744 c 0.345,-0.328 0.818,-0.52 1.331,-0.496 0.344,0.029 0.689,0.064 1.037,0.104 0.809,-0.098 1.623,-0.169 2.427,-0.233 0.776,0 1.437,0.49 1.694,1.177 1.986,0.819 3.491,2.272 3.72,4.956 0.668,7.847 -9.275,8.891 -14.504,5.611 -2.58,-1.618 -3.987,-5 -1.947,-7.541 1.66,-2.067 3.868,-3.051 6.242,-3.578 z"
id="path272" />
<path
style="fill:#676f79;stroke:#676f79;stroke-width:0.500094"
d="m 337.752,214.937 c -2.207,0.259 -4.337,0.822 -5.907,2.663 -0.566,0.664 0.195,1.399 0.705,1.857 2.565,2.304 11.124,2.53 9.15,-2.767 -0.524,-1.406 -2.405,-1.657 -3.948,-1.753 z"
id="path274" />
</g>
<g
id="g276" />
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:xlink="http://www.w3.org/1999/xlink"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
version="1.1"
id="svg10"
width="545"
height="516"
viewBox="0 0 545 516"
sodipodi:docname="pcb-version.svg"
inkscape:version="0.92.1 r15371">
<metadata
id="metadata16">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<defs
id="defs14" />
<sodipodi:namedview
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1"
objecttolerance="10"
gridtolerance="10"
guidetolerance="10"
inkscape:pageopacity="0"
inkscape:pageshadow="2"
inkscape:window-width="640"
inkscape:window-height="480"
id="namedview12"
showgrid="false"
inkscape:zoom="1.877907"
inkscape:cx="272.5"
inkscape:cy="258"
inkscape:window-x="-8"
inkscape:window-y="-8"
inkscape:window-maximized="1"
inkscape:current-layer="svg10" />
<image
width="545"
height="516"
preserveAspectRatio="none"
xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAiEAAAIECAYAAADPdv4CAAAAAXNSR0IArs4c6QAAAARnQU1BAACx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"
id="image18"
x="0"
y="0" />
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:xlink="http://www.w3.org/1999/xlink"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
version="1.1"
id="svg30"
width="879"
height="498"
viewBox="0 0 879 498"
sodipodi:docname="rs485-inp.svg"
inkscape:version="0.92.1 r15371">
<metadata
id="metadata36">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<defs
id="defs34" />
<sodipodi:namedview
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1"
objecttolerance="10"
gridtolerance="10"
guidetolerance="10"
inkscape:pageopacity="0"
inkscape:pageshadow="2"
inkscape:window-width="640"
inkscape:window-height="480"
id="namedview32"
showgrid="false"
inkscape:zoom="0.32309443"
inkscape:cx="311.05458"
inkscape:cy="249"
inkscape:window-x="0"
inkscape:window-y="0"
inkscape:window-maximized="0"
inkscape:current-layer="svg30" />
<image
width="879"
height="498"
preserveAspectRatio="none"
xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAA28AAAHyCAYAAAB8qPZIAAAAAXNSR0IArs4c6QAAAARnQU1BAACx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"
id="image38"
x="0"
y="0" />
</svg>
This source diff could not be displayed because it is too large. You can view the blob instead.
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:xlink="http://www.w3.org/1999/xlink"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
version="1.1"
id="svg20"
width="399"
height="499"
viewBox="0 0 399 499"
sodipodi:docname="rtmdet.svg"
inkscape:version="0.92.1 r15371">
<metadata
id="metadata26">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<defs
id="defs24" />
<sodipodi:namedview
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1"
objecttolerance="10"
gridtolerance="10"
guidetolerance="10"
inkscape:pageopacity="0"
inkscape:pageshadow="2"
inkscape:window-width="640"
inkscape:window-height="480"
id="namedview22"
showgrid="false"
inkscape:zoom="0.47294589"
inkscape:cx="111.75212"
inkscape:cy="249.5"
inkscape:window-x="0"
inkscape:window-y="0"
inkscape:window-maximized="0"
inkscape:current-layer="svg20" />
<image
width="399"
height="499"
preserveAspectRatio="none"
xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAY8AAAHzCAYAAADYepFdAAAAAXNSR0IArs4c6QAAAARnQU1BAACx
jwv8YQUAAAAJcEhZcwAADsMAAA7DAcdvqGQAAMz4SURBVHhe7J13XBNnA8eptXZoHa1at7Z1tNqq
XVprW8Vqh6vaal1171ncFZWCExQRHLhxoOwhLlQ2MgXZYNh7TyGEBBJ+710GXMKBURnn6/PH92Pu
nt89d0nw+d7zPJc7DYiFIBAIBALhWSDyIBAIBMIzQ+RBIBAIhGeGyINAIBAIzwyRB4FAIBCeGSIP
AoFAIDwzRB4EAoFAeGaIPAgEAoHwzBB5EAgEAuGZIfIgEAgEwjND5EEgEAiEZ4bIg0AgEAjPDJEH
gUAgEJ4ZIg8CgUAgPDNEHgQCgUB4Zog8CAQCgfDMEHkQCAQC4Zkh8iAQCATCM0PkQSAQCIRnhsiD
QCAQCM8MkQeBQCAQnhkiDwKBQCA8M0QehCagAEXejoixtkC0tRV4tz1RWCiQlwkgCDSH/z59RPhn
oppaLg91QrTVVQpbpCfzpbnqvEeIp7e380SJSHUb1f0RCITmhsiD0DTw7+Bmj/awMH2ILNsNONdj
BDy8CyAJPQvXnUcQfGA6jrbVRFAqJRVhNAI134DuhNMQ1NRRgqSVo+Bgkwox2zbMfREIhGaHyIPQ
NAgfwm3I+7B3LKGWCxA57U3sm2EBUXEuquhyUTg8R46Gb7xMBFV3F8Ow3RRE5NZu77lYF7kiIST1
bEMgEFoOIg9C08CUR2Us/L9vDeMNHhDLy0WBe3F9uzOEirwoGO5DWuOcQTQk1HKVy0Y4HY9TGqKq
sw2BQGgxiDwITQMtj8FtcX6DCfy3z4XVYiOk58vKJHG2cJv2CfZr9MOd+wXybQQoPTkWe/utR7og
G9GrVyIyr7Y+9m0IBEJLQeRBaBqUhq1YysVPkLFpAIzX1fZGUGwH+04dYHNCH05at1CplKdh2YZA
ILQIRB6EpkHa86Dk4VCfPIQQnJkGixNJjKEpPiWHj6HbaijcAmRXXalSdxsCgdASEHkQmoACFLno
4FI7DZiutUFurmKCuxyFZsthtXgrvPdux+0tZ5FbrrxtddwhXNY8iEKRYt3TtyEQCM0PkQeheSlP
RX5wIPLTi9jLxU8gyFfprTx1GwKB0NwQeRCaDXFFGR6dMYXfYQMlfA7ux82Vy+usp4mxsWKti0Ag
tCxEHoRmQ1RSAPOfJ+Dijz8ocfqL4dB97bU662lur1nNWheBQGhZiDwILU66rzf0Xn+dtYxAIHAT
Ig9Ci0PkQSC8fBB5EFocIg8C4eWDyIPQ4hB5EDjBkxx4XPGDiZEPzljFwvteIlKkl4w/QbS9Lw7p
+8LuEfNKwHKkuYXBNfHVvNcakQehxSHyILQ05YEP8Ptoa5zwL4KYloLzfXz/sS2c+BVIOmaOn/XS
US7MwYmJF/DfI1oWAqS6uGFcW30svltep75XASIPQotD5EFoUYQp2PWZAaZfYf6OSID44w9gX8rH
zdmHMOpIDiSUVO4uOIH5NxR3PyjGsdGHiDwIhJaCyIPQklT53cEAjQs4nqlSJuBDRP1b5nIDvTQM
8dcOZyz6JxTJlYpMCY4TeRAILQeRB6ElqbCzQYfXr8K8lL0clQWwWmyKjhp78NXOOBTVlBF5sBYQ
CM0FkQehJakKuodPNE7jQCzbxLcAsYcuYapJLvihD6D55j7MsH0iLyPyYC0gEJoLIg9CiyLKgMG3
+/GJVjzKatZXIC8oETFPSnFpghGWu9KCqEC24VkM25UpzxB5sBYQCM1FgocnFrTqz1pGIDQH4sRw
bPjOBF9Mu4k9+p44sMcDZ13zIaElct0JY6e4wNw2GP/Nd4KZtIdSgYKQYMzroYuR2rFIrm/I6/8Y
Ig9Ci+N9PxytW/3HWkYgNB8ClCRnICIyF0VC5TJxQQ7CH2UjT6C8/lWGyIPQ4gT4JqH163qsZQQC
gZsQeRBaHCIPAhcZ0F+Cx1GimuWMVCF69axGlUqv5FWFyOMlhxediR7dDfHO2/sw4pszkFQ2fKuE
yLB0dOt2WJof9e3Zp+ZDg1PxwQeHpPkffjjPmmES4JuIrl2p/Dv7ME7TjDXDxMYyBK1b74GGhi76
9zdmzRAILUG7ttXwdKuVR0ykiPo7BQRldbOvIkQeLzEJsdlUw6snbXgV9OtnxJqloUXzOnWGz8z3
72/CmqWhRdOqlXJ+yODjrFmaRw9TqHxtluaL4aasWRonhwilLE337odZswRCc0Pk0TBEHhyE/6QU
B/d7PJVvR56p0/jSbNl0mzX/9VenWfM7dtxjzQ8fZsqa/2+3C2v+syEnWPN79dxY8507G7DmxaJX
89LHV42S5HhkPfRnLeMCL5s8csMeoSguhrWsKSDy4CDZGQXSRnTYUFOM/+lSvfTqaajU6CoYOfIs
a74HdVbPlh/93TnWPD28xZb/8YfzrPmuXQ6x5seOMWPN00NhbHkij1eDB/v3Sp8syVbGBV42edjP
nYO7WhtYy5oCIg8OopBHZlo+a7mCuMdZdYahevUyZM3SREWk43WVYagP+x1lzdLQ8x2qw1ADB9Q/
zPXQPwmvvaacb2iYy84mTClL06WLAWuW8P+H9749uDL+J9YyLvDSyWPObDhvWMda1hQQeXAQdeVB
Qwuh8/uy4Z/PPzuBKuHTJ8Dfe09fmv/ii1NPnTCnhdCpkyw/csTTJ+R9vBPQocNBaX706HOsGSbX
roTUCLCh+RpCc1GAIm9HxFhbINraCrzbnigsVHznAggCzeG/Tx8R/pmoppbLQ50QbXWVwhbpybK7
zVbnPUI8vb2dJ0pEqtvU7ovIo3Fhk0dVsgeiThkh8NhxhF93R6JPOCQlMUh1sES0ow/Kam7ymI9C
Dzvqe7RGnI8PUp2sqNeyv4HHTneRwcuChFEvDZEHB3kWedCkJOZK8/k5zFtK1w/dY6HzT4oU9+hp
mKjwdGleWK64FXXDhASlSPPVVRWs5aqQS3U5Bv8ObvZoDwvTh8iy3YBzPUbAw7sAktCzcN15BMEH
puNoW00EpVJSEUYjUPMN6E44DUFNHSVIWjkKDjapELNtI88ReTQuyvLgo8BsDi5O24fktFJquRCF
1itw5pfDKKGEIU62gH2P1jij44MqRR3FNnCcpo9iqrzKdQWM3p0A/4BI5Ac4IXDpCBwbrYXY9Nrv
j8iDg7DKo7IEhfnKjbE4IRMJ/Hrk0UCeVR4N5Fnl0UCeVR4N5Fnl0UCeuY7QBAgfwm3I+7B3pJ+a
V4DIaW9i3wwLiIpzZQ2NKByeI0fDN17WkFTdXQzDdlMQkVu7vediXeSKhJDUsw0NkUfjwpSHJHI/
znebiNCM2s8b4lJkOjigiH46YmUc/OZ8AdNOfXDdIU3WIxS4w2WjGSrp7cN34lTnOeAp/r9VpiFq
RmfoT78Mvry3QuTBQVjlwY/GIf1EVCiWS5JxaKwFjmbWI48G8qzyaCDPKo8G8qzyaCDPKo8G8ko5
QuPDlEdlLPy/bw3jDR4Qy8tFgXtxfbszhIq8KBjuQ1rjnEG0dGijymUjnI7HKQ1R1dmGwmXbFhzr
/zG89+qphe0WXWxfcQX797qrxZbNDlizSo96rR6bN5pg7ZpU6nWVlDZtqnHfuVYekWEyeejqyMo3
auVg/dozNds/Da0NutiwzpJ6zX68qmhvsMaV9bqsnwUbp4YOhcP8edSx8pGxtT/0NI+Bz/i8laDk
4b9xL9KvL4Hxe5oIjKb+bzckD4oqh9k4+MYkhBXLlok8OAirPMp50JtyDf9Y5IAf9RCzu+ui48Dr
MM+rRx4N5Fnl0UCeVR4N5Fnl0UCeVR4N5JVyhMaHlsfgtji/wQT+2+fCarER0vNlZZI4W7hN+wT7
Nfrhzv0C+TYClJ4ci7391iNdkI3o1SsRyfie2LcR4taqFTB47z1cmTBeLXZ/Mx3ffa6PnydcUouv
vzbG0KFzqNfj1eKrr7Zg+LAs6rVEyuuvV+Pm9Vp5hIfI5PHTOFn5l1/k4Yvhu2u2fxpfDJ9JbXOE
es1+vKqMHn4Y276cwfpZsGHYrRus/5hGHWsJome+gz1TLkpFID3+0sdI3KkJw+7j4eGVjGqpPPYj
X/QE2XtHQH+wFlJy3RqUh9hrDY5qfIcA+dAVkQcHqX/OoxRBR66hZ2t9TDZJRTEvHdGlDc15sOfr
n/Ngz9c/58Ger3/Ogz1f/5wHe75ujtCoKA1bsZSLnyBj0wAYr6vtjaDYDvadOsDmhD6ctG7VNlo1
1N2GDFs1LrXDVnxk7/gEup/+i2zGrVTE7qtxtM9KJNM3d6yRB/06HuHTu8Fwmhaua9UvD4HZb9jb
ZRHiy2XLRB4cpFYeuQjQPo332h9Ehxr2UmV7pK/f0TgHw0ymPPLVytfKo0itfK08nqiVr5UHX618
rTzK1cqzfWaERkTa86Dk4VCfPKiG5Mw0WJxIYgxN8Sk5fAzdVkPhFqB6kiFDdRsij8ZFac4j7hiu
dOiJ605ZNeVijzUw7rsaKVJ58OCvtVcmD7q88D7uDtKA7sSzMnmEqcijxAtuwzvi3N6gmquuiDw4
CLPnUX7XD0a30xATlYnHEekIC05FFP06KgPBZwPgmK/c81Anz+x5qJNn9jzUyTN7HurkmT0PdfKq
nxehMSlAkYsOLrXTgOlaG+TmKiZcy1FothxWi7fCe+923N5yFrnyM1AF1XGHcFnzIAoVDdJTtiHy
aFyUr7YSQOClD5sfJ+DmoSuIu2WNoA3jcWbGcelQVYmXAa4N/gU+nnE1V1tJIo/g2oLzqHzyGMl7
NXGw9aew19WHv742bs/4HU7G7jWT5TREHhxEedhKgNRb7pg3yhgdW8t+SNe6nSG+nHoH18LoS/BU
h62enlcetnp6XnnY6ul55WGrp+eVh62enie0EOWpyA8ORH666vCogicQ5Kv0VhrYhsijcVGWhwI+
KlLDkRMcgqJc1WHqulTx6+9tqkLkwUGY8hD6eGPVlgDcCchGVv4TlJWUIC81C8H3gqG71AU385Tl
oU6eKQ918kx5qJNnykOdPFMe6uRVPy/CywmRR+PCLo+mg8iDg9TKIw+5Fz1xmnFtPJOS6944GSxg
yKNQrXytPErUytfKo0ytfK08BGrla+VRoVaerYzw8pHh74OQ82dYy7jAyyaPGFtrJNy5yVrWFBB5
cBClYav8WPw38TwmzLDHqvXO2LXbFTpbb2Lx7xcxftkjJIpUhq3UyCsNW6mRVxq2UiOvNGylRl5p
2EqNvOrnRSA0BS+bPJobIg8OojznQa0TFCDQygc6G65jyQIHrNrkAbP7OTU/AFKe83h6XnnO4+l5
5TmPp+eV5zyenlee83h6nkBoDog8GobIg4PUkUd90HMQlSzyqA95vo486kOeryOP+pDn68ijPuT5
OvKoD3metYxAaGQ6v18NX+9aeSTFC9HqtWqI6EtdGblXFSIPDsKUR0VSMtzv8uDCwvU9nrDMVZaH
OnmmPNTJM+WhTp4pD3XyTHmok1f9vAiEpiAhVgixyjApL7pWJq86RB4cREkebo7oqmGAwcNO4Yvh
TEzxafcrMMmiz4iya+WhRp7+zUSNPNTIhz5KrpWHGvkA3/haeaiR93R/LH1uCP3e1cmrfl4EwrMi
So6D3b3c2l/IiytQGByG0ydD4JtWe1GGJC8Vdqb+sPDOZ2RVKMmGt2MYbK3CYFNDDMJzn9Lzfskh
8uAgGal0Yz0BqUmJQGU+vNzSa2+bzEAck4aoUvoMiSfN52ZlqJWPigiX5ksK89TKP3oYLM2LBKVq
5X29fan8eNl6NfJuLh6UPORPlFMjr7qeQHgWqpJisVdzPzot5EEkXyf0ccGEvwKRWhCPrb85wDGL
avjLE6Ez3hoXkkrgvfkKltoV1nmmhQL+XUf07GiFKzG5SIlPx9099th97ynDvC85RB4cJDuDHvbR
oHoeSazlqqQkxkrz+TmUPFjKVYl7HCXNPymi5MFSrkpUeIg0T9+ehK1clZCgAGn+qXMecgJ8vdH6
9ddZywiEpqDQ+Dy61sijGJcnGWDqZfoHcuXwWWeCr/dkouCiObpMCUYJlRF53cSAr9zBo4exyktR
Rv0rKixBhXwOrurRPQzuYofbil/Qi4qQQ3oehOaGyINAaFqKTBjyKOdhWfej2OBNPzu/AmmHzqDN
5EC4LDFEv3UJ0owk2Qsj3jDHSQ8v/NLxKCZvuI4/vjqMLr8EIJUSCFMekoxHuGBfVmef/28QeXAQ
Ig8CoWlRkgc/HH+9exw7Q+i5jgpkHTkHjbE+sJ9xAJ/uSJMOoUrSH+A7DTOcyk7F9oHGlGj4QHEQ
Jna4Bku+XB7vnMEaQx/or72EJWb//7fSIfLgIEQeBELToiQPQQI29DPGPw/onocAvP9M0fGvUPiu
O4qP1idKM+JoVwzvYA2HkjRof3oSu8Io0fDDMKPjFVx5ojJsJcoBL+7//04IRB4chMiDQGhalOQh
5uP+YmP8drZY+tppnjF+v1SECmcHfPxrIAqoTIWDLT6eGow8Yf3y+LQzY87jFYDIgyNEhglhZVGJ
G46VuHyR/t3GFFy8kC1dptcHP1TOhwYLYW0py58/S196OwVXLxdIly2vVUrLmXl6exsrWf60KS2n
KVS9JdJli6uVCA9Rzgf6CWFrLcsfN0mQ5u1ty2vykeHKeb8HQqpclj96hL76awqcHEXS5WvmlXgc
pZx/4FmbP2wQhVatfpe+prl6pZISnHKeQGgsJLlpMJ9jCI0R9+GRIJubkCSHYNnvN3DqvAv+XuSP
GPohSpV5sFxyDetOPoTOXAecjhSgJNwPkzro4/dTqYhyc8aXGsewzike9/+7gHc0TLHeJh0Fr8gP
WYk8OMJnQyR4t101unerRrcPJGjTpgAfUP/Sy+2o9UOHSpTygwZS+XdleTpH57t1q81//aVy/qOP
qtG+vSIvVsq3bVuN775Vzvfpzch3VeRly23fqcbYH5XzPbpXo0MHWXlXeZ5+TfMOlf95glgp37VL
NTrW5Kvw5puM/NvVmDxJOU8gNDn8AsTGFNQ+N18KH9kxWchmPFGPIIPIgyP8PlWM3TurWMt0dlVh
ymTlxvS3X8XYq8ee/3dbFf78Qzk/TlMCg4Ps+U1aVZg7Wzn//WgJ1YNgz69bI8aiBcr5Ed9IYHqi
UmmdguVLxVixTDk/fJiE6jGx5xfOF0v3wVZGIBC4AZEHRyDyqIXIg0DgPkQeHIHIoxYiDwKB+xB5
cAQij1qIPAgE7kPkwRGIPGoh8iAQuA+RB0cg8qiFyINA4D5EHhxBVR6pF6ww8MOrOBElUEsezLw6
8mDm1ZEHM6+OPJj5p8sjFxdnmuCjyb54LCLyIBBeBog8OIJMHkLc324FXfccrO6ti99n7UW3WTkN
yIM9X7882PP1y4M9X788Kljz9crDlIcdM1zhleCEPhrXMOfNw5gTR+RBILwMEHlwhJqehyADp2ea
on9vE/yqE4ssqqzBngdLvsGeB0u+wZ4HS77BngdLvqGehyjCH7OGGaPPgMvQdSmQlhF5EAjch8iD
I8jkIYD55P1o974uPlkehD0TT2LOhfwG5MGer18e7Pn65cGer18e5az5euVx/BGmtN2Pzq8dw8qL
bpj0uTUuphJ5EAgvA0QeHEEmDxECt59C5y6G+ONcYU1Z/fJgz9cvD/Z8/fJgz9cvDyFrvl55nE7B
ji8M0LW7BS4wHi9L5EEgcB8iD45QM2wlLIeonE/9Q1OOqsqnDFux5BsctmLJNzhsxZKvXx6VrPmG
hq2qBOXyLIVAIH3MJ5EHgcB9iDw4gkwelYg1Pg2Nd87izxlW+GuGDQ55lDcgD/Z8/fJgz9cvD/Z8
/fIQsebr73lk49iXumg70pLKUvk5nvAWEHkQCC8DRB4coabnIS6Bn1MssqkzdkVZgz0PlnyDPQ+W
fIM9D5Z8gz0PlnxDPQ8UJuKWS4G0x6EoI/IgELgPkQdHqJVH3bKG5aGcpWlYHspZmobloZylaVge
ylmaBuXBWKeAyINA4D5EHhyByKMWIg8CgfsQeXAEIo9aiDwIBO5D5MERiDxqIfIgELgPkQdHIPKo
hciDQOA+RB4cgcijFiIPAoH7EHlwhK+/luCjDyWYMF6Cn8ZVoXfvGOm/9PJHH0nwxXCJUn7YUAk+
/liWHzeuEn2o/PifxNLlD/tJpI05M//pJxIM6C/Pa1L5Po9r8n37SKSyYOb7U9mBA2V5zbEiKsOr
yffuTdUxVrlx79dXgk+ofdDlY8cI0bcvT/qapmfPavz6s3K+V08JBn8qKx/zYwW1fWxNvkePakxV
kSWBQOAWRB4cQMwvw8VLldi2pQoH91dB+98iaGj8ix3bC6XL26mexNUrymfpFy9UStfT5du25knz
u7RL5MtVsLJQztNn+f9ul+U3b8yR5v/TKZMub91cBTsbKl8pQEUpH2Iqf/pkJXb8K8trbciU5vfq
Vci231QFJ0eRUv0njlVCe4csv35tqjR/YF+ldHnTxircuamcNzlaiZ3asvzqVUlo9doO6WtpnuoJ
3XdWzhMIBG5B5NHMiIsLkZqQg+QasmG94DyW22UjOakQZZVCZGekUI2vBjLTkiDy9YfOtSxpg47K
YgScu4dlC65jp2kcMkWyOlMSY6X5/Jx05LjwECKQrZekR2P72CN4U0MPnQZcwmbrHFRR6+MeR0nz
T4ryqJwAqbfcMW+UMTq21qXW66J1O0N8OfUOroWVSuuJCg+R5oXlT6TLTyMkKECar66qYC1XJcDX
G61ff521jEAgcBMij2alArmWNvhAYw869zZC3z4yurbRxdtdqdf97WCRryyPchsLvPdrMPjiJ3Bd
aQiNHhY4ZJsAX8cH2LovCrmUbGrlkQHhPU/o3iyGhJJC0PbzGPNvBKLzBYCgAO56LjBPrVCSh9DH
G6u2BOBOQDay8p+grKQEealZCL4XDN2lLriZR+RBIBDqQuTR7FSgyP8hdDZ74jqPTy2Xw2PNRWzy
Lq/JMOVR4WiNzpoBKEh9gJGtTuMQjxKBvJ40I2ccjq1QkgeEGTj2xyWsuZyKkCOuOJehaMArkHn5
Pg77CxjyyEXuRU+cjlfUqUzJdW+cDBYQeRAIhDoQebQUghzcMnDGrtNxcFhVvzwgLkU81YhvmmaC
1z9zR7LinlGiTBz65hjWeguU5UGVSXLjsf/nI+jQ0QADf7DDmlUO+GucKT6b6ocoocqwVX4s/pt4
HhNm2GPVeup4drtCZ+tNLP79IsYve4REkWrPg4/HV29hwgB9vKGhh7YfHMfPy33gmVYrIIU83JyT
IZKuq0DWjbvQ7LUXGq/rY+D4O7BjCIvIg0B4+SDyaFHoXkgg1k24igPUGb5ivbI8FNlyZKcV1d5A
UJSDG6YRiKdkoCoPGWVIdg+G4c7b+Oef+zCySkWBXDzKcx7UOkEBAq18oLPhOpYscMCqTR4wu58D
vrwupjwqXJ3x2wx3WLqmIjYuE3e2W2Pa/EsY+JE5TCNkAlTIw2W/C+7kUr0PYTJ2jL4AbfsMFFHH
K8qIxd5dwbJ9UxB5EAgvH0QeHCQrPZlqfIeryKN+EuMeU/lhKvKon5jICCo/tFYeTyE0OJjKf07J
owQZpl64nF9bVmrliFWWpRAlhGHDYm9EUj0Vfx9fKv8ZKiMe4M/xTjAPTsFRnYDaO+1W5sNc26um
Dg9XD7R67fOaZQKBwH2IPJqVCrWuhspMoy+91UVacrZa+cS4LGk+NytfrXx0JH0prS4ljxIq9/Rh
qNDgJGleJCiHOPYhVs28jt37PKCz9ho+fc8UOvJeU4VbIK6EC+DvGyfN0++3wMMdv/Q8iE7vm+DH
+U5Ys9gKPw09gd+Pp9fU7+EWjVat6LxsmUAgcB8ij2ZGnauhsjMKpI1vZlq+WvmUxFxpPj+nSM2r
rWSyeVKk3jBUVHi6NE8/7Y9eFiXxcPLf61iy5A5OuObLLiOmKc9FUqoAIUH0sJtu7YR5aQ68Lnth
t9YtbNzpDdtg+vhqP5MA3yS0fl2vZplAIHAfIo/mRo2roZjyUCfPlId6V1sp5KHeMJSSPCoL4WXk
hHmL3XArgZJScQrOLrmIr766hLUXM1BB1VErj3IUJuQgj+4JUdv5nHXBpk3UMbnlS3tAin2+evIo
R4Z/NBxswmBvH4PQDFnPjb44Isn1EUyPPsCxU2Hw8ODBP1FRRvUkC9Jx+8ojOIfTPUZq3ZNM3Dzp
BaNzsUgWUssl2fB2DIOtVRhsaohBOD3vJK+DQGgsiDxagKddDaUkDzXySvJQI8/seagzDMWUR5nD
faw2fgx/1yBsX3ITq8ceRKex7vDJLkGYoQvOJ1TUyOOR7im81eYwBv9oi81zjkPj3bNYfdAfJ7Qd
selKTs3n8Ur2PATp+G+4HkYezJH13EpTcHTqecw5low8Stii5MfYNdoY8x3o3l4F8u7dx5SJznBK
kstElEHlLWASzUempRU+mxSIlEoh+Hcd0bOjFa7E5CIlPh1399hj9z1Zj5FAaEyIPFqM+q+GUpXH
0/Kq8nhanikPevlpw1C18ihDBnWmeymPKqssgvMqY2h0scOtAlm+/BZ1FuxTXiMP/e9OY38s3djx
EbbnEmadL5QNV1UW4MRWD9k+KF7NYatCnBy7F5PMyqjXAgRrn0TnaY+Qx8iIeX44alMGcYwvNPte
g3l6bQ+iyucGeg91QxL9nQrisOIDY2x9JEDVo3sYTH0nt8vl9YiKkEN6HoQmgMiDS1Bn9kKqMWCX
BwvyPLs8WJDnVeVRL/I8s+chjgnA0qmW+HO0Idp97IDLNh6YN43q3ay0hqbmXXiU1A5bPdS1g66v
7BYnKMlDqqIRoxq7tWNv1uyHa/JITqTvBFyFQlqSLOWNA0MewiRs6a+LMSaFKplyCAVlcJ6vj04T
nKG3/jq0jHjIonsmd+3QsfN1eNFDglQvZPcAXUy1LFOShyTjES7Y03Ji1kkgNA5EHs1MRVIy3O/y
4MLC9T2esMxVloc6eaY81MkrTZirkVedMK/KSoe3Zzpy5Ge3wqQE2F+LQVS+TA61cx7FiPJNRxF9
dix//1KEqbh2IaFmmWvyuGZeidatq/Fuu2po/9tUEmHIgx+Bue31MPUyS0MvTMaWfnvwvUE6SvIT
sG3wXny5LwN4EgutD/dj2Bp/mJ+6gS819LHUtVwmj3fOYI2hD/TXXsISM7m8CYRGhsijmalwc0RX
DQMMHnYKXwxnYopPu1+BSZaKPNTIK8lDjbySPNTIq8rjadTKQ73hEq7J4/aNSnTtWg17m0rpre+b
RiLMnkcKtAfp4qt92bVDhgqECVjf2xCr3Okr3yqQbXQWGhOCZGUlOfB2jIK3lQO6dbSHC58SO3PY
SpQDXlzthDuB0JgQeTQ3lfnwcktXutpIgTgmDVGlKsNWauSVhq3UyCsNW6mRV1se8mEudeQh5NfW
xUV5fEDJg35dXSVsIokU4gQtjwt0b0OAmINn0W7QbTwoqc1IslPgF5kP62kGGGcqG9IqMr2IAesT
azL01XWHRxvjD/N86XwSLY9POzPmPAiEJoLIg4OoO+chyS5ANsvVVk9D7TkPOUx5qDPMpZBHij97
zuVuBPbph9TUz2V5KGBKpP271di540UkUo4MXz/M7KGLj+c9RFA61TsQ5cJh3TkMHG6BDbqeOLTP
HQdPxyKTkrE4OgB/jrGFiVUANs++DYc0SsqVZcgJjYLJBntsMc+S3UOM6om4/XcB72iYYr1Nes0F
EgRCU0DkwSEUMlBPHnwE73LGmWz15aGoX115KPJK8lBjmEshD48lBtDofBzDlXIUw46jx68+Nft5
GeShgE0iRYzfybwo4sI8xIRmIJX+YSezrLQAvPAcFInky3xqOSIfpYplAqGZIfLgDLUyUJZHGcJN
7TDqU1P8ui1KeiYKQTbs1prijdZXYVGorjxq61dPHrV5pWErNYa5FPIQp8bCM5xtzF2A2JDa25O8
TPJQoJDI0M+bRiIEAtch8mh2ni4DpjwkCZ4Y3fU05q27iVlfmGC6nhf+6qOLN7+4BYd42e1DlOXx
9PqV5fH0vLpzHoqeytPnPCqQl15Qs/wyykMBLRE7ayIRwqsHkUczo44MmPKouO0GvbvyRrs0Bgs/
OIixu2TX+ksKilBA/cuUhzr1M+WhTl49edT2VJ4qD34KdDYH1Cy/zPJQQCRCeNVoJHkIUB7qhGir
qxS2SE+WNTLVeY8Qb22BaDtP5EW7IdbWGjy/JFSrbF8V54bHtraID+ShwPc6YuhtrGqJcYtEpco2
Up7wkOZkRWUsEW1jg1gXX5Q8UZQLUOpnhyjLq8pY2SGDF4nU69aIcXyAUtVJxfIYpNpb4/EtPxRE
qnPMyRBXpiPD/BAeGF1DZl7Dl0aqIwMledjdwny9MDjYhlMEYcWCm7CUvg7DhX/u44rq7zzUqJ8p
D3XyyvJ4ek+lRh5PUnH677MYPPQy/nWSXQ0kig3D+mF78MaUJpgwF8TD0/oOUqhjlq0ToDDQHEf3
6eOaf6bSzRgb4nnkoUBVIru0W0AiLJ9Dnt8lGB0whE1gltqfA4HQEI3X8xBGI1DzDehOOA1BzfoS
JK0cBQebVKrxzUfswu7Q7TYfPKqBqdmuMhkhk9pBd6g2coTUssAb9wa0xbVTkShOjEVxjCt8th5B
bs1/BGWqXFfAqN0EBAQEI8V0Jo71+BkPo+gz5iJEbV+BsIBoFFrMwqEe8xHDi0bmlVVwtSyC8PoC
GGp0g6NjLqM+SjjnfsOB1z6HewjdUKpzzIVI1p4Iy5UbcWt8D+zpswpJjMstVVFHBkx5lNtYodNb
h9Czh6EKh9G+1TkcVf2dhxr1K8lDjTxTHur0VBTySDp4Fh98ZYMNa63w5QAL7D1khb4ae/Hl+nAk
yW8bT9Mo8hDGwV1vLNp1XAAXed1VoWehvfMIzh6Yjr5tNXEqVb3fPLyIPBS0mERYPge+27+Y8vsK
bF35E3q27oO192uHDAmE56VRh62q7i6GYbspiKAaHOk64UN4LtaVN/x8ZO2ejXPD38NlE17Nmbwk
9ACcRg/BPk1j8OmzWFEMHnzTHtZW8juHUlRmpckfZ1oXSfhOnOo8Bzw+tSx6BPchGjizP4Y6uxKg
LC1Fuh/x/SUw7L0aqdK7u6aiNFMASdBO2I8cBoNxRihW9D6EwfBZ8h1M3xqLh1l0Q6PGMVc8RtqD
ONnZnMALzv364M6D+n/Vq44MmPIQ+cYqXfvPROTLg3exsjzUqZ8pD3XyTHmo01NRyMP5PxfpD9fo
bPltO3TrYAaduwUQiytQnF1c8z4areeRdwTfd2HIozhXepdfiMKhN3I0DtfzrHZVGkMeChQS+fyz
ZpSI0udQjqQHnkiV/h8shf/6fui71rPe/08Egro07pyHKJhqvFvjnEG0tDGtctkIp+Nx8kaXaoh1
NyPg7AwYfrIR6dI/7AIk/LseIUYTsb8eeVT5nUd4kLyxYoEpj6pIA1zqMhTufsqNt5I8FNsF6cDl
6CnYdhuE+z6yvMh5G+6eM4RFW4Y81DlmeZ20LF1H/oYQ6baM9QzUkQFTHmw5VZjyUKd+pjzUySvJ
Q42eikIeDuttsNeKLqMwu45F60Jkr60DsXEn8zG0jSSPfCMleSgoC9yLJdudUcpY1xCNKQ8FtERs
rZpJIvV8DvTfc9i/IzD5XFqzDl3lRYTC5+B+QjOQEyK/+0Az0LjyoId9To7F3n7rqYY2G9GrVyKy
5odUdEO8FaFZnrg3qCtsLbMgSTsD510uEFyarCKPNji1xAABxgZwmzEZ7vLGnQ2pPNp+jRsbJ+Fo
13HwD6vbJa9PHq4X0pC2aSAOz7wKgSgJIRu0kZJ3AZZK8lDjmOV1ijy2wW73A9bLWJ+FF5EHW7kq
THmwlavClIc6PRWFPOz+PIi3u6jmKLodwOvfPaipvynlURVni13TPkFbjX5Yr+ZwTaPKg75oIC8L
iAwD7GyaRyL1yeOJK7Rn70RgM//6PPyyGfa9/Qbub/me0ITcWj0Chz94D1lBtRejNCWNLA+KYjvY
d+oAmxP6cNK6xZjoljfEBeUoOjoG+t/txeN9a+AfXY7KOvKo7XlU50cin/4Fbk09ytT0PEpC4Du6
E05u9agzuV6/PHIgiTuCS51G4YHdHtwxioCklEUeTztmqr7q7Nvw/vcCCuh5G/k+nhcuy0OdnopC
Hv4ucShjydFDKQH342uWm7rnAfETBG4agA/Xeag1XPNUeeRnAzGRgKcbYGsNnDoB6P0HrFsD/DUT
GKcJDBkM6kOoi7wOpkQ6tK/G7p3qS6SspABikWx+iRW2z6EyHS77tuFKTP29+KaClof93K8A6BKa
mMfXZzebQBpfHlSDm7HpY+i2Ggq3AOYfKh+Z/21GCP0fpMAa9l3bwXixOcqpssqLk7B/7FGUsciD
RhJ1FZE+7A2dJIySx/uyYSsJzwRXOg+Es5tyoyu+R8tjlbI8AnfD5TzVCIhzEDO3Kw70W4RIeq6m
9Dws3hmDwEyZPNQ65gIvBOmeQSbdqFZmIudRbM1+ngcuy4OtXBWFPJr9xoj1ykOIwjPTMOVEklrD
NQ3Kg35PtAT6fwx8Nwr4fQqwbCmwYztgZAhcvQzcvQ0sXSLLLVoIXLsCZKSw1scmkWL581FUMaca
4U8GDcQ7b7+Nd9u1wwpqv8UFtQ/VqqHO55ADf1MdmAXSPS8BCkIeMq7EanqeXR47UOg9B9G2MxFl
U0t8uBYKfecixm4mou3+Au/uUhSW6ChtW5W2BFFnfkPgickIv7kEif5rIWGUA7uQG7gSFUrr2NBG
sV/tvh7fWojMVG15mQ5KA2cjynqGMjazkRG/Dqk3ZyHmxjKUSlTqFG5A6vVZeOy8AgXRixHrMAu8
wE2oZmYoqhIX47HDbMQHb4ZYshUZ137BA+OZyCxUfq/10VwCaQJ5UP8h4g7hsuZBFDL+QEVxd+H1
y1dwOOeHMmEpMnZOx32vJ6jOCkToAko2vf5EkD8PBR4GsOisgeNz9iHA+AgC9DfB6oeViC1V3oeU
J4+RrDcWBzSG4I5tCMorBSgxm4xD73+Pexe8pZnq/HDwtIZRMvsGrrejIKS3K4lB0p6fcfbv08jM
4EPsvwPWW9xRVZmGbLP5OPZaL9ic9KG+YDWO2dUGrl+8Dd032+EA9R96f+v2sLyYVfdYn4FXSR52
NmFo02avNP/ZkBOQUN8hW+6pUNIOvzwbPTW+wS5XHrWuHAlmy/HH4q04sHc71m05iyg1h2vUkgdb
GRPqbxdrVrOXsfA0iZw6eQwff/QRvD1cpcu5WWlSeXz91Zeo4NeeaNX9HPLxYOdwvKPxplQ477Zt
jQ5Tz6NQkW8GnqvnwZ+PGz3fgs2VdShO+Qe5d8fBcfcC6uNfgJs934TF6VXIchiFcz17wcN3B7XN
bhRcGoqL08cjOXMXtayNQruvcebXX1DCbMQL/4RNn4/wMEWNhrhiIW71bgNzk+XI8ZoM24Hv4qop
LSNtRO34GmFBG1Bo9TkO9RyOmPgNyLxKtTHW2hDeHA5DjXZwvPEvoz5KOBcG4kCrD+Aevpta3oHY
xe9Ct/tw8EoY+5RQJ6uT20B32BjkVGkjeddAWK7+DrcmvIs9fb9BUhkj2wDNIZAmkQc9TCDIZ/xB
E56JV0Ued25FS3NMPvrQmDX7XJSnghcciMfp6n0uClpCHgpoidhQEvlsSK1EstJL0KVzZ8TSQ2Uq
+Z8njIfZ+TN11nOJ55JH1Sq4DW4Lx9u0COh1OuDnbKM+H2r9Z+/A/sZOat0ORE5vjX0zZ0IUMx7n
uw9EaDZTCruQ6TQHRWLFsg6KTw7BsW6tcVpvtUqPhAXxGngMV+xLB7k6XaH79U9UfTooy9ws7TGI
3b6EYZ8RSBVReckWlOboQBIyBvbfdoPBT7+hWCGuqtXwWdYHpm9/iIe59DHuRtZ/n+McdeJ5+fg/
Nb0PScQEOH3fFfvGTQS/8h+k+WnJjlO0FM4fdsAdP8Xn8XSaWiD1ysPs/ENsWHeTI9hj8bjdmP7N
LiX++NEUK1nzLzdLlzhIG9Ilix1Yy1VZtNBeml++zJG1XJUFf9tJ8ytXXGctV2XeXBtpfs3qG6zl
qsyeZS3Nr2cpY9KnzxFpTpV1axrez6qVDlSjuYN6vaZJmDr5JN59t545hSaWhwKmRN58swqdOtmy
5vbt0cVbb73F+j7UZfGidZg8yYR6zf55vyhzJxhCe8xvrA1cvTDlQZ2NR1yYjUrFeoU8JFrw/6EV
jLUWIW37+9AbNwl81XqYUNt6LR6LpCufQr//SKQKWTJMmPIQroWfZluc0lkGMSOjJA/5OkmIJlxM
psK2e2fc95c19qL7P+DuhV9h0Y4hjz2jEXB+CAw//Q7p0u13IEH7W4QYD8R+Wh7MHhN17K7fDkSI
dFvG+qdAC2Tf2282iUDqlYeRobe0keEGF/Fr1z8xTGOaMu218ec8tvzLzcwZltJGdMaflqzlqvwx
3UKa/2umFWu5KtN+vybN0408W7kqU6dcleZpibCVqzJpork0P/9vW9ZyBb17scuDLctkzmxLjPjm
H+r1vCZhnOYhvNuunl5WM8mD5r6zCN99J8Hrr0vQrp0ja+bIYQNpr4TtfajL9N8X4YfvD1Ov2T/v
F2XK6MPY8v1k1satXqTyeANn1/yCAP0RuLxkGkSK9UPa4LzWJPjvGAqrpb8hvWgnome9gT1T/5AJ
hqb8HyTu/hCGPT6Gh4+sl1DpPho3T1Nn8uXzcbNvO9g70sNd8jwbtDyGtcHZFaNg0bctLh9dLTsG
BvXJw/XiFqRt6YzDs2ZAIN6EEK0xSCmcDksleXyP0NyluPdJW9hab4Mk83c46yyC4MqgOvIQef8A
u/+WoUq+rC6Jrgug16oVUr3c6/ztvChNNGxFeBFelWGrqIh0qmHUk2YV/PbrZdZsc9KSw1Y0tDRG
U9J4681q6sy9ChmpAvTt0wcerveUcsLyJ/hi+DA4OdgprecaLz5spYMi3gbZ8A29vmbYSpHfjeyd
XaA7+EdkVynWUQ271wgc7fsNkqUN+zZErfkEtw9NROCJ3+A+tQMOTpqKMubZvSo1PY8dyD3cB/qf
jUZauXKmfnlshyTxV1x6rzceOP6EO8brKGmxyKOYem8m/aA/+ic8PjAS/jwdVKrIozpvPry1p6OA
8d7UgRbHoS4dm0QcNEQeHORVmjCnBTJwgAm6dDmExYvsWTPNTUvJQ1UaWem1Zc63nNC1SxecOHYU
CbHRcHe5i7FjfqR6qX88/0UGzcTzysP103cYcx61692GUA26E1MeVIOdOAlXOrbH9VvbataJvUfA
uN8IpFANO13uvG95zZBTdRqd74UHVGOtyNdBvJrqecj3JV6PhxPewXGtRRAyMmJXWh7fKMsjeCxc
zLZTr7cj5u+2OPDhF4gsoMrKp8GibT8E5sjkkak3GiFF1PriWbD/oA2Ml85AObV95WVKHpoTZWIr
XoqgPb8jk54ol2xDTphWzX4aoqnFQUPkwUFetUt1uQarPHhRwBlTYNZfMnnQP/pzdwGsLIATJsB/
u4HVq4AZf4Jq1WUZNeXRkDSYBPo9kMriow8/lF5lddzECFVC9b6TluR5LtUtchuLi+9o4NT6v5Bb
oGjgqfXumrj0rgZM189irKfRgcDnZ9iM+Rg3Df9EnPMsBGl9jDMzJyMvdzkCpneF+b6lKJVPnot4
f8C+jwYOTxyPlDT66idFPQq0UeRB7at97b6qU6fBsss7MNvyO9KzqOWiteBt6gbd13vC9e56mVTK
NiBpX3+cXTAVmdm7IX74I6y3LUGVZAuyLw3HsVbtYXNqOQoeL4TXrz3gcGEFyqp2IWP3YNz32YXq
3JUIXfQedHsPQZDHLLh++QZ032qDA++2wf433oTl5Vo51kdziIOGyIODEHm0LEry6NtXJgJVBg0E
vh8N/DENWLEc2LkDoBpzWJiDsgEQ9ghg+w0GA6Y01q8VU983e+5l57l6Hs/NblRkrEVO6BoUFaj0
Wl4BmkscNEQeHITIo2VRkgf9A7/TJ4HQYCAzFWiEM32XuyLKO///0lBAy+Ngh7fhvX8cB/kRN6b1
wyVNFSYPhwtrnru4av/QbOKgIfLgIEQeLUuDcx4vwKsmDQXZwYFw3b6FoyzD5V6vQ5fqTTLRe+8b
OG5ly3ObdB8v1u+gKSDy4CBEHi1LY8vjVZUG4f8bIg8OQuTRsjSWPJ4mDVFSPK6a+uO8RQx87ich
tiAHDxzCYOuYjOyauzU/QbxHJOyswnH7USEqE+JgcTEIl8xkWHnlKdXJD36ES57FkPBzEXgjAvbW
4XC8kYikmidsVqAgNIaqLxS2VhHwT5ZdqSXJS8dda2rfdolIk99WiB8fi6snfGBsHABb13i4+uRC
LN+PKDkOdvdql2t4koprZvEorDl+GcLwJIQyH6j2JAd+TuHUcYTBjjrG606xCOQVv/AdqQnNB5EH
ByHyaFleVB4KabzZphrr1rD3NMQJgZj9uxtCSipQFhmEeUOtYFlKN8phmNdjD77SSZY9yIqmOAIL
p3khubIUjguOYdCoi/hp3EWM7aOLHhtSa+t9koBtA3TxmU6mtFEXPriDAW+Y4WSayvcgzMYJzT14
bUIgimrW8+G28hzm2xRCIi5D8JFr+H6WLx5mU3IR5OG+9nn0nxcpPaaqpFjs1dyPTgt5KncpLoXP
FhNoDHEFT+nGi8WwnGGE8cdzlW5MWeHqhN7vXoZxQBZ4AdE4sfQsBo6+g1vpL+ffzasGkQcHIfJo
WZ5XHq73RPjh+4aloaDcwhJdf/RGrPQW/hXIMAvAdfrmn5W5MJpzGp93MsISB7ohp9YJErB9YzDK
K/MQFUH1KqR18HHjr3PYHKz4jUcpfA/chtYMYwyTy0Mc54lvO1rBTv40RyYVdx3Rvd01XKt56mcq
9Ba7I5Jq9KsC7+Pz9y1wNZuxjSgbJ4+ES+8oTS8XGp9HVxV58L09sXGDFT4aqiwPSYIfZvU/jLe/
cEUk45EF4nAXDO9sixuK46sshM0MA3SaHoJclZ4LgXsQeXAQIo+W5VnloSqNjFT2HBNJWghmfaCL
90begFlgCcTUZyu94zMlD+ONHvC/7ogP37uIE9H0mb9cHsw6+FGYR52lhyqGmKiGe+u5DARoqScP
iNKgM2QPvjXIlmYrXJyxTNozECBwkwle+9EXOSrbiMpr7/dVZKIiD6rXo785EDG+t9FfSR4ChOnZ
Q9clGH92MsY/nrV/Q3XkQVHhYIOOb1zFleLadQRuQuTBQYg8WhZ15fE80mAijI+CzqSjaKOhj1Fa
EbJnbEjl4Uk1vnyE7j2LToPvwDs3vo48Km7Y4getFNmcA91wbwpEgkiAh+rKg+rtZJ68iDf73Ya/
oAS2q51gKX3qJx+Osw+i9RQVWamgLA+613MH52MFqPJXkUdpLLYv96feWxlclhuh+9yImscBs8lD
5HUTfTXOw4QMXXEeIg8OQuTRsjxNHi8qDRpxcg5SpUM4fCQ53sGItgcw/UoJQx5UWWUerk4/jB7T
7mCJFrMx5+Pu/AvYFEQPWQnA22+GTzWtMW+ODX7tr4e3B1vgwD2qN8Mmj8qK2nmH4kj83ekgZp7w
wjKtx/L6BQj59xg0vvSgZCTPscCUhzjaA5qfXMQcav9zfzFB67dO4I999LNEhCi0dMK0NZ44ccwX
x3deRZ8O5riQIfu7YJNHoZk53uriAOdmflQu4dkh8uAgRB4tS33yYEpj7ernk4aCipvu2O+m+Dwp
GSw2wlijPEgqc3BUywOPFQ13YRw2DdKFxsSHtfIo52HxmNsIkWfKMzIREZKG8JAUWM8/goGropGQ
J2CRhwCJNmHwqWmY6SEqY2i0MsXOAMXcCdWoR3piVNtj2ORZWrMOlUUIeZABvnxZqedRWgBeKL3/
NARb2qHXACfcji0GRDkw3eCOIMUTDSkZmo4/iG8PyHtGYS4YxpRHSSJ2DdfHyL1p5KqrlwAiDw5C
5NGyqMrD7b4IP/7QONJQUOHkhOGj7KF/OQp3LNyxYMY9uOSVIc3LG5MHX8Ehz9yaq62qIn0waUFQ
jTyELg4YpxiyUoIxbMXPhbeBOTprGOOvPT44buKDQ1ss8MPyiBoB0EjivDFe0wvxSr0MAVJsb2L0
gFOYvs4Nh/Q9sG9/ADzkV21JctNgPscQGiPuwyOhjLEddayKYauKYjw0tsBnP7vDL10uppJMXJ1j
BI2uV6B/OwGuey+iQ+sTmKfrBWN9F6ydYYFlxgnII5PlLwVEHhyEyKNlUcjDrQmkoUBSUoIy+rHJ
SRkIj8wH/ykNZgW/9rMXZ2YjIb+xPls+ivLr+V5FJUiLTENMUgnpCRDqQOTBQYg8Whb6WeJvvFHd
JNIgEP5fIPLgIEQeLUtyghCTJxFpEAgNQeTBQYg8CAQC1yHy4CBEHgQCgesQeXAQIg8CgcB1iDw4
CJEHgUDgOkQeHITIg0AgcB0iDw5C5EEgELgOkQcHIfIgEAhch8iDgxB5EAgErkPkwUGIPAgEAtch
8uAgRB4EAoHrEHlwECIPAoHAdYg8OAiRB4FA4DpEHhyEyINAIHAdIg8OQuRBIBC4DpEHByHyIBAI
XIfIg4MQeRAIBK5D5MFBiDwIBALXIfLgIEQeBAKB6xB5cBAiDwKBwHWIPDgIkcf/O+XI8I+Gg00Y
7O1jEJohkK8vRZLrI5gefYBjp8Lg4cGDf6KiTAhJQTpuX3kE5/AS2bonmbh50gtG52KRLKSW+bkI
uBEOO6sw2NYQDs+Yspo6CITGgsiDgxB5vAII0vHfcD2MPJgDMb1cmoKjU89jzrFk5ImEECU/xq7R
xpjvQH/mFci7dx9TJjrDKUkuE1EGlbeASTQfmZZW+GxSIFIqqe1872BgW3Ocicml/i5yEOvhie3G
6bJ9EAiNCJEHByHyeBUoxMmxezHJjO4VCBCsfRKdpz1CHiMj5vnhqE0ZxDG+0Ox7DebptZ9nlc8N
9B7qhiRKGBDEYcUHxtj6SABxnAdGtLeELV9RTxlys0prtiMQGgsiDw5C5NGyPI4SYuoUMVKT2Msb
B4Y8hEnY0l8XY0wKVTLlEArK4DxfH50mOENv/XVoGfGQRfdM7tqhY+fr8BJQOaoXsnuALqZaUqJR
kgcfgReDEUIPaSnVSyC8OEQeHITIo2WxvFaJN96oRhuKFcuaSiIMefAjMLe9HqZeZpmbECZjS789
+N4gHSX5Cdg2eC++3JcBPImF1of7MWyNP8xP3cCXGvpY6louk0cbUyw77INjh+9j5tT78KcFo1ov
gfCCEHlwECKPluX2jUp80LUaXu4iaI6VNJFEmD2PFGgP0sVX+7Lrzk0IE7C+tyFWuZdTyxXINjoL
jQlBsrKSHHg7RsHbygHdOtrDheptKPc8KlAUk4ksemhLtV4C4QUh8uAgRB4ti0IeiuWmkUghTtDy
uCCb84g5eBbtBt3Gg5LajCQ7BX6R+bCeZoBxprIhrSLTixiwPrEmA2EGDo82xh/m+ZBQy3XnPAR4
bBkC/3LFMoHQOBB5cBAij5ZFVR4KmBJZufxFJFKODF8/zOyhi4/nPURQugAQ5cJh3TkMHG6BDbqe
OLTPHQdPxyKT6jWIowPw5xhbmFgFYPPs23BIoz7XyjLkhEbBZIM9tphnQUTXy8+F9+Gr6KJxDPMO
+OCYsQ8ObbHAj6uiUF7nGAiEF4PIg4MQebQs9clDQeNJpC7iwjzEhGYgNb/29x1SSgvAC89BkUi+
zKeWI/JRqlgmEJoZIg8OQuTRsjxNHgo83UQYO6ZpJEIgcB0iDw5C5NGyqCsPBUQihFcRIg8OQuTR
sjyrPBSoSiQtmT1HIPw/0EjyEKA81AnRVlcpbJGeLGtkqvMeId7aAtF2nsiLdkOsrTV4fkmoVtm+
Ks4Nj21tER/IQ4HvdcTQ21jVEuMWiUqVbaQ84SHNyYrKWCLaxgaxLr4oeaIoF6DUzw5RlleVsbJD
Bi8SqdetEeP4AKWqlzGWxyDV3hqPb/mhIFKdY06WXV4piEey9R0UN8IYNJHHc0J9B57Ud5Ci9B2U
Is3NEq6J9KWuzPX187zyUMCUyKoVLSARls9BkhcIO9NjsPBOIrcqITQKjdfzEEYjUPMN6E44DUHN
+hIkrRwFB5tUqvHNR+zC7tDtNh+8QsZ2lckImdQOukO1kUP/ElbgjXsD2uLaqUgUJ8aiOMYVPluP
ILeeRrnKdQWM2k1AQEAwUkxn4liPn/Ewim4oihC1fQXCAqJRaDELh3rMRwwvGplXVsHVsgjC6wtg
qNENjo65jPoo4Zz7DQde+xzuIXRDqeYxC+OQpDcW+zsuQGIj/CCLyOM5oL4Dd+o7aEd9By413wEf
qS7/YVzbjlh8V/1bdLyoPBR4uIow5sdmlgjb51DuBZ3xM3EhKQfem3/BUrs06WW9dbYlEJ6BRh22
qrq7GIbtpiAiV75O+BCei3XlDT8fWbtn49zw93DZhFdzJi8JPQCn0UOwT9MYfLoXIIrBg2/aw9pK
fudQisqsNNmliCxIwnfiVOc54NHXtYsewX2IBs7sj6H+cwhQlpYi3Y/4/hIY9l6NVPo/U2UqSjMF
kATthP3IYTAYZ4RiRe9DGAyfJd/B9K2xeJhFX+2i5jHT6/OO4EIXIg+aFut5UN/B99R3UCsPmiwc
G92lReShoNklovI5FFz8DV2mmKGEei3yWoMBX+mC1wg9ZMKrTePOeYiCqca7Nc4ZREvPbKpcNsLp
eJy80aUaYt3NCDg7A4afbES69A+7AAn/rkeI0UTsr0ceVX7nER5Uf6PFlEdVpAEudRkKdz/lhkJJ
HortgnTgcvQUbLsNwn0fWV7kvA13zxnCoi1DHuocM11nvhGRh5wWkwf1HdSVRzaOt7A8FDSbRJQ+
hydwWdId/dZ5SE/AJMn6GPHGRJiXqmzThGQFBeD+Fi1CM5Dm7cH6HTQFjSsPetjn5Fjs7beeamiz
Eb16JSLzFGV0Q7wVoVmeuDeoK2wtsyBJOwPnXS4QXJqsIo82OLXEAAHGBnCbMRnu8sadDak82n6N
Gxsn4WjXcfAPK6iTqU8erhfSkLZpIA7PvAqBKAkhG7SRkncBlkryUOOY6TqJPGog8mBQmAs8jgLu
3gaOHJaua3KJKH0OJbCf8S4+3RGEKqpMkn4Y32mMwal8lW2akPDLZtDv+DYe6I8nNCHuu3/EoS4d
keLhyvo9NDaNLA+KYjvYd+oAmxP6cNK6xZjoljfEBeUoOjoG+t/txeN9a+AfXY7KOvKo7XlU50ci
n/4Fbk09ytT0PEpC4Du6E05u9agzuV6/PHIgiTuCS51G4YHdHtwxioCklEUeTztmuk4ijxr+7+VB
y4A+w7OzAU6fBPbqARvWAbNnAeN/AoYNBTp2BPUh1IVRj7tLrURWrxQjPYWxj3qoEvKp7zsWxQU5
rOVSlD6HUviu64eP1ntKex7i6N0Y3mEmHGpuX9L00PKwn/sVAF1CE5PkvrDZBNL48qAa3IxNH0O3
1VC4BTAbGz4y/9uMEPqMp8Aa9l3bwXixufS2CZUXJ2H/2KMoY5EHjSTqKiJ92Bs6SRglj/dlw1YS
ngmudB4IZzflRld8j5bHKmV5BO6Gy/ls6nUOYuZ2xYF+ixBJz9WUnofFO2MQmCmTh1rHTNdJ5FHD
/7U86PdES2DkCGDKJGDxImD7VsDwEEA1krhzEwgKALZsAtq0AXZsl/U6Suv/bmiJ/PjD0yVibGSI
7t26oXevXmj/7rv4Y/rv1N9KSt2syudQ4bwIH/96CgX0a4e5+HjqBaXnhjQ1zy6PHSj0noNo25mI
sqklPlwLhb5zEWM3E9F2f4F3dykKS3SUtq1KW4KoM78h8MRkhN9cgkT/tZAwyoFdyA1ciQqldWxo
o9ivdl+Pby1EZqq2vEwHpYGzEWU9Qxmb2ciIX4fUm7MQc2MZSiUqdQo3IPX6LDx2XoGC6MWIdZgF
XuAmVDMzFFWJi/HYYTbigzdDTK8TbUSy3QIUi5Vz9dFcAmkCeVC9hbhDuKx5EIWMSTlR3F14/fIV
HM75oUxYioyd03Hf6wmqswIRuoCSTa8/EeTPQ4GHASw6a+D4nH0IMD6CAP1NsPphJWLZxmifPEay
3lgc0BiCO7YhKK8UoMRsMg69/z3uXfCWZqrzw8HTGkbJ7Bu43o6CkN6uJAZJe37G2b9PIzODD7H/
DlhvcUdVZRqyzebj2Gu9YHPSh/qC1TnmZIgrM5FzeTaOaHwDN1de3eN8Rl5meVy6EITXX9eT5rt3
O4yyEvUb7ReC+g7Cqe+gJ/Ud7Kr5DgQoCDHDvB4aGKl9D8lqjvOrJQ+2MibU3y7WrGYvq4eGJKJ/
YB+Gfv4ZoiNCpcv8J4WUl7bik0EDqc+YMVTL9jlUxsNyyRSsO3kGOnMX4nSkeicBjcVz9Tz483Gj
51uwubIOxSn/IPfuODjuXkB9/Atws+ebsDi9ClkOo3CuZy94+O6gttmNgktDcXH6eCRn7qKWtVFo
9zXO/PoLSpiNeOGfsOnzER6mKEuHlYqFuNW7DcxNliPHazJsB76Lq6a0jLQRteNrhAVtQKHV5zjU
czhi4jcg8yrVxlhrQ3hzOAw12sHxxr+M+ijhXBiIA60+gHv4bmp5B2IXvwvd7sPBK2HsU0KdrE5u
A91hY5BTRS1XaSFp74fY32k4EkWM3FNoDoE0iTzoSTpBfm3PgfBsvKzycHKMlOaYvP++AWuWy7SU
PBSoSoQXXYT3OnVCalJcney036fA9IRJnfV1KUF2TCSym3G4SsFzyaNqFdwGt4XjbVoE9Dod8HO2
Ub1Zav1n78D+xk5q3Q5ETm+NfTNnQhQzHue7D0RoNlMKu5DpNAdFNWfsOig+OQTHurXGab3VKj0S
FsRr4DFcsS8d5Op0he7XP1H16aAsc7O0xyB2+xKGfUYglW7YJVtQmqMDScgY2H/bDQY//YZihbiq
VsNnWR+Yvv0hHubSx7gbWf99jnNfvI3Lx/+p6X1IIibA6fuu2DduIviKbQt/w4WuzyYPmqYWSL3y
MDL0xtzZNhzhGn4foYOfhuxW5stjmMmaf7mZPs1C2vBO+/0aa7kqv0+9Js3/Md2CtVyVyZOuSvMz
Z1iylqsy8bcr0vysv6xYyxX07Gkozaky40/1jktdZvx5DV9/tZF6PatJGPPjQbRrV48om0EeCtzu
i/DD9xK0aiVB+/Yudcpp9ujq4LXXXmN9H+oyZdLfGDXKgHrN/nm/KL99ewgbv5vC2sDVC1Me1Nl4
xIXZqFSsV8hDogX/H1rBWGsR0ra/D71xk8BXrYcJta3X4rFIuvIp9PuPRKqQJcOEKQ/hWvhptsUp
nWWyoSQ5SvKQr5OEaMLFZCpsu3fGfX+Z/ET3f8DdC7/Coh1DHntGI+D8EBh++h3SpdvvQIL2twgx
Hoj9THkUPZ88aGiB6FJ/r00hkHrlYXb+ITb+c5sjWGBWz9/wrcYvSozqtAPL1rPlX25WLHeUNrrL
lzmylquydLGDNL9qxXXWclUWLbSX5tesvsFarsr8v22l+fXrbrKWK/hk0DFpTpV/NjS83bOyfq0T
fv35X+r1+iZh2tRTVGNdzy/Sm0kekkohLK5W4tNPJHjrrSq0f/cCa87wkD769e3D+j7UZdmSf6j3
fIJ6zf55vyh//3IEuzV/ZW3c6kUqjzdwds0vCNAfgctLpkGkWD+kDc5rTYL/jqGwWvob0ot2InrW
G9gz9Q+ZYGjK/0Hi7g9h2ONjePjIegmV7qNx87QWJOXzcbNvO9g70sNd8jwbtDyGtcHZFaNg0bct
Lh9dLTsGBvXJw/XiFqRt6YzDs2ZAIN6EEK0xSCmcDksleXyP0NyluPdJW9hab4Mk83c46yyC4Mqg
RpNHjP1f2N/2bWQ/eljnb+dFaaJhK8KL8LIOW9HH+9Zbe6VZBbNnW7NmuUxLDlsxpdGxQzX0/qtC
cUEFBg7ojxuO9kpZeq7j008G4R49Sc9YzzVefNhKB0W8DbJhJmbPoya/G9k7u0B38I/IpucJ5OvF
XiNwtO83SJY2utsQteYT3D40EYEnfoP71A44OGkqypjzIarU9Dx2IPdwH+h/Nhpp5cqZ+uWxHZLE
X3Hpvd544PgT7hivo6TFIo9i6r2Z9IP+6J/w+MBI+PN0UNlI8qDFcfiD95pEHDREHhzkZZ4wp4/5
h+/PY+DAY9i29Q5rhuu0hDzYpFHCuCWOj5c7unahGkidXQj0ewAbq2v4YvgwrFi2tPmvantGnlce
rp++w5jzqF3vNoRq0J2Y8qAa7MRJuNKxPa7f2lazTuw9Asb9RiCFanTpcud9y2uGnKrT6HwvPKAa
a0W+DuLVVM9Dvi/xejyc8A6Oay2CkJERu9Ly+EZZHsFj4WK2nXq9HTF/t8WBD79AZAFVVj4NFm37
ITBHJo9MvdEIKaLWF8+C/QdtYLx0Bsqp7SsvU/LQnFgrtueQR1OLg4bIg4O87JfqvuzUkYeQet++
3sD+vcDXVCNIy4MeQ7axAk4eo/6vUo3B2jXAzBmA5ljgsyGyjBryeJo0mPCiI7B65Qp8Qx3Dr7/8
DMtrVzgvDprnuVS3yG0sLr6jgVPr/0JugaKBp9a7a+LSuxowXT+LsZ5GBwKfn2Ez5mPcNPwTcc6z
EKT1Mc7MnIy83OUImN4V5vuWolQ+eS7i/QH7Pho4PHE8UtLoq58U9SjQRpEHta/2tfuqTp0Gyy7v
wGzL70jPopaL1oK3qRt0X+8J17vrZVIp24Ckff1xdsFUZGbvhvjhj7DetgRVki3IvjQcx1q1h82p
5Sh4vBBev/aAw4UVKKvahYzdg3HfZxeqc1cidNF70O09BEEPN0Ms2YYc889xRKMn3Dz+YTnOujSH
OGiIPDgIkUfLoiQP+rcatAiYvP8+MPo7YNpUgDrzl/6W4yjV07h6WfabjpAgID0ZqKCfT163fhpa
GpbXKjH4U5k0dHXql8bLznP1PJ6b3ajIWIuc0DUoKlDptbwCNJc4aIg8OAiRR8uiJA+3+4DrPZkM
6B6ISvZZeZWkoYCWx7633sDdjaM5yEjYju+NcyN7KTN2CG6w5rnLjeXfNJs4aIg8OAiRR8vS4JzH
c/IqSkNBXmQYfA/pc5StsPjwdenlrEz0uvyIOwfZ8twmN+wR63fQFBB5cBAij5alMeXxKkuD8P8N
kQcHIfJoWRpDHrQ0rCwqMWRw/dIQJcXjqqk/zlvEwOd+EmILcvDAIQy2jsnIpraX5Z4g3iMSdlbh
uP2oEJUJcbC4GIRLZjKsvPKU6uQHP8Ilz2JI+LkIvBEBe+twON5IRFLNEzYrUBAaQ9UXClurCPgn
y246KslLx11rat92iUiT31aIHx+Lqyd8YGwcAFvXeLj65NY8hVCUHAe7e7XLNTxJxTWzeBTWHL8M
YXgSQpnv/0kO/JzCqeMIgx11jNedYhHIK5be+Ze5HYG7EHlwECKPluVF5MGURof21fhvN/07jbo5
cUIgZv/uhpCSCpRFBmHeUCtYltKNchjm9diDr3SSUaHIF0dg4TQvJFeWwnHBMQwadRE/jbuIsX10
0WNDam29TxKwbYAuPtPJlDbqwgd3MOANM5xMU7kiS5iNE5p78NqEQBTVrOfDbeU5zLcphERchuAj
1/D9LF88zKbkIsjDfe3z6D8vUnpMVUmx2Ku5H50W8lQe0lYKny0m0BjiqvKwqWJYzjDC+OO5Sk8w
rHB1Qu93L8M4IAu8gGicWHoWA0ffwa107l9BRiDy4CREHi3L88hDXWkoKLewRNcfvRFLP8aY6g1k
mAXgOn3jxspcGM05jc87GWGJA92QU+sECdi+MRjllXmIiqB6FdI6+Ljx1zlsDlY8rqAUvgduQ2uG
MYbJ5SGO88S3Ha1gx3I/q4q7juje7hqu1Tz1MxV6i90RSTX6VYH38fn7FriazdhGlI2TR8Kld5Sm
lwuNz6Orijz43p7YuMEKHw1VlockwQ+z+h/G21+4IlL6fmWIw10wvLMtbiiOr7IQNjMM0Gl6CHJV
ei4E7kHkwUGIPFqWZ5FHddWzSUOBJC0Esz7QxXsjb8AssARi6rOV3vGZkofxRg/4X3fEh+9dxIlo
+sxfLg9mHfwozKPO0kMVQ0xUw731XAYCtNSTB0Rp0BmyB98aZEuzFS7OWCbtGQgQuMkEr/3oixyV
bUTltbdsKTJRkQfV69HfHIgY39voryQPAcL07KHrEow/OxnjH8/av6E68qCocLBBxzeu4kpx7ToC
NyHy4CBEHi2LOvKgpWFt+ezSYCKMj4LOpKNoo6GPUVoRSKEbXKk8PKnGl4/QvWfRafAdeOfG15FH
xQ1b/KCVIptzoBvuTYFIEAnwUF15UL2dzJMX8Wa/2/AXlMB2tRMspU/95MNx9kG0nqIiKxWU5UH3
eu7gfKwAVf4q8iiNxfbl/tR7K4PLciN0nxuBUnkdbPIQed1EX43zMCFDV5yHyIODEHm0LA3JozGk
QSNOzkGqdAiHjyTHOxjR9gCmXylhyIMqq8zD1emH0WPaHSzRYjbmfNydfwGbgughKwF4+83wqaY1
5s2xwa/99fD2YAscuEf1ZtjkUVlRO+9QHIm/Ox3EzBNeWKb1WF6/ACH/HoPGlx6UjOQ5FpjyEEd7
QPOTi5hD7X/uLyZo/dYJ/LFP9iyRQksnTFvjiRPHfHF851X06WCOCxkyMbDJo9DMHG91cYBzufL+
CNyDyIODEHm0LGzyUEjjsyEyaejsej5pKKi46Y79borPk5LBYiOMNcqDpDIHR7U88FjRcBfGYdMg
XWhMfFgrj3IeFo+5jRB5pjwjExEhaQgPSYH1/CMYuCoaCXkCFnkIkGgTBp+ahpkeojKGRitT7AxQ
zJ1QjXqkJ0a1PYZNnowHeVUWIeRBBvjyZaWeR2kBeKH0/tMQbGmHXgOccDu2GBDlwHSDO4IUT3ak
ZGg6/iC+PSDvGYW5YBhTHiWJ2DVcHyP3ppGrrl4CiDw4CJFHy8KUR2NLQ0GFkxOGj7KH/uUo3LFw
x4IZ9+CSV4Y0L29MHnwFhzxza662qor0waQFQTXyELo4YJxiyEoJxrAVPxfeBuborGGMv/b44LiJ
Dw5tscAPyyNqBEAjifPGeE0vxCv1MgRIsb2J0QNOYfo6NxzS98C+/QHwkF+1JclNg/kcQ2iMuA+P
BOVbsNQMW1UU46GxBT772R1+6XIxlWTi6hwjaHS9Av3bCXDdexEdWp/APF0vGOu7YO0MCywzTkAe
mSx/KSDy4CBEHi0LLY+ulDxsrBpfGgokJSUoox+bnJSB8Mh88J/SYFbwaz97cWY2EvIba06Aj6L8
er5XUQnSItMQk1RCegKEOhB5cBAij5aF/kV469bVTSINAuH/BSIPDkLk0bLkZAqxeBGRBoHQEEQe
HITIg0AgcB0iDw5C5EEgELgOkQcHIfIgEAhch8iDgxB5EAgErkPkwUGIPAgEAtch8uAgRB4EAoHr
EHlwECIPAoHAdYg8OAiRB4FA4DpEHhyEyINAIHAdIg8OQuRBIBC4DpEHByHyIBAIXIfIg4MQeRAI
BK5D5MFBiDwIBALXIfLgIEQeBAKB6xB5cBAiDwKBwHWIPDgIkQeBQOA6RB4chMiDQCBwHSIPDkLk
QSAQuA6RBwch8iAQCFyHyIODEHn8v1OODP9oONiEwd4+BqEZAvn6UiS5PoLp0Qc4dioMHh48+Ccq
yoSQFKTj9pVHcA4vka17kombJ71gdC4WyUJ6OQd+TuGwswqDnXU4rjvFIpBXjCr59gRCY0LkwUGI
PF4BBOn4b7geRh7MgZheLk3B0annMedYMvJEQoiSH2PXaGPMd6A/8wrk3buPKROd4ZQkl4kog8pb
wCSaj0xLK3w2KRAplUJUuDqh97uXYRyQBV5ANE4sPYuBo+/gVnqF8v4JhBeEyIODEHm8ChTi5Ni9
mGRWRr0WIFj7JDpPe4Q8RkbM88NRmzKIY3yh2fcazBkCqPK5gd5D3ZBECQOCOKz4wBhbHwkgDnfB
8M62uMGX11NZCJsZBug0PQS5dFa+PYHwohB5cBAij5YlJEiI0d+JEREqYi1vHBjyECZhS39djDEp
VMmUQygog/N8fXSa4Ay99dehZcRDFt0zuWuHjp2vw0tA5aheyO4BuphqSYlGVR4UFQ426PjGVVwp
rl1HILwoRB4chMijZbGxqsSbb1bj9VbV+POPppIIQx78CMxtr4epl+leiEpOmIwt/fbge4N0lOQn
YNvgvfhyXwbwJBZaH+7HsDX+MD91A19q6GOpazmrPEReN9FX4zxMyNAVoREh8uAgRB4ty+0blfig
azUiw0SY8ae4iSTC7HmkQHuQLr7aly2b/2AiTMD63oZY5V5OLVcg2+gsNCYEycpKcuDtGAVvKwd0
62gPF0oYbPIoNDPHW10c4Fxeu45AeFGIPDgIkUfLopCHYpkpEfpfepmZfz4KcYKWxwXZnEfMwbNo
N+g2HpTUZiTZKfCLzIf1NAOMM5UNaRWZXsSA9Yk1GQgzcHi0Mf4wz4eEWhaHuWAYUx4lidg1XB8j
96aRq64IjQqRBwch8mhZVOWhoPEkUo4MXz/M7KGLj+c9RFC6ABDlwmHdOQwcboENup44tM8dB0/H
IrOSEkJ0AP4cYwsTqwBsnn0bDmkVQGUZckKjYLLBHlvMsyCi632SA4+9F9Gh9QnM0/WCsb4L1s6w
wDLjBOSRyXJCI0PkwUGIPFqW+uShoGl6IjLEhXmICc1Aan7t7zuklBaAF56DIpF8mU8tR+SjVLFM
IDQzRB4chMijZXmaPBQ0pUQIBK5D5MFBiDxaFnXloYCeSKcn1IlECK8SjSQPAcpDnRBtdZXCFunJ
skamOu8R4q0tEG3nibxoN8TaWoPnl4Rqle2r4tzw2NYW8YE8FPheRwy9jVUtMW6RqFTZRsoTHtKc
rKiMJaJtbBDr4ouSJ4pyAUr97BBleVUZKztk8CKRet0aMY4PUKo6Flweg1R7azy+5YeCSHWOORli
al+CYEsEnbyMtDT6qhjl7LNC5NF48KMdcMrgEC648NSeMH5WeSjghkTyEW1/FIf0jWD3KIelnEBo
HBqv5yGMRqDmG9CdcBqCmvUlSFo5Cg42qVTjm4/Yhd2h220+eIWM7SqTETKpHXSHaiOHvj+PwBv3
BrTFtVORKE6MRXGMK3y2HkFuPWO7Va4rYNRuAgICgpFiOhPHevyMh1F0A16EqO0rEBYQjUKLWTjU
Yz5ieNHIvLIKrpZFEF5fAEONbnB0zGXURwnn3G848NrncA+hG0r1jrnKRxtX/jqNkgJX3P9tER5n
qYxXPyNEHo2DOPIwfp92BDx+EqxnDsbkc7HSK5LYskyeVx4KmBKZOUNMfX7NJREBko79hp/1HqFc
yMOJid/jv0evRm+R0Pw06rBV1d3FMGw3BRG58nXCh/BcrCtv+PnI2j0b54a/h8smvJozeUnoATiN
HoJ9msbg070AUQwefNMe1lbym79RVGalya4mYUESvhOnOs+hGghqWfQI7kM0cGZ/DNVICFCWliLd
j/j+Ehj2Xo1U+te4lakozRRAErQT9iOHwWCcEYoVvQ9hMHyWfAfTt8bioVQA6hxzJsImdYbFZVpC
pUhd9zHO7ImQ1fecEHk0Bnz4r+mFYXqPpcIQuS1Ft482Iow+QamTVeZF5aGg+SVSgpuzu2LUER71
nktxd8FgzL9R+/+IQGhMGnfOQxRMNd6tcc4gWvoftsplI5yOx8kbXaoh1t2MgLMzYPjJRqTTDbm4
AAn/rkeI0UTsr0ceVX7nER5Uf6PFlEdVpAEudRkKd79SpYySPBTbBenA5egp2HYbhPs+srzIeRvu
njOERVuGPJ52zGW34dS9L+5403UIUHLoa+yZbCat73kh8mgMSuEyvwO6LHORnniII3dg4GuTYF3K
llWmseShoDklUuayGr00euCvHRux6B9zJJNLdAlNROPKgx72OTkWe/utpxrabESvXonIPEUZ3RBv
RWiWJ+4N6gpbyyxI0s7AeZcLBJcmq8ijDU4tMUCAsQHcZkyGu7xxZ0Mqj7Zf48bGSTjadRz8wwrq
ZOqTh+uFNKRtGojDM69CIEpCyAZtpORdgKWSPJ5yzKVWsHl3ENykw1zU+z/yLXTHHqvZz/NA5NE4
8F3X4aO2n2OdqRnOrBkOjU6L4MH4G6iPxpaHgvAQEf6Y3sQSqUyB1eLP0VGjNb7aeR9FbJlmJt3H
C9cXzsH1RYSmJu7mddbvoCloZHlQFNvBvlMH2JzQh5PWLcZEt7whLihH0dEx0P9uLx7vWwP/6HJU
1pFHbc+jOj8S+fSPqGrqUaam51ESAt/RnXByq0edyfX65ZEDSdwRXOo0Cg/s9uCOUQQkpSzyaOiY
+R640+9DOD+gBVeO/P+G4uBf1jX7eR6IPBqPsjhX3HByhc2iD9Bp4W1UsGRUaTR5FOUBsdHAA0/g
9Elg3Rrp+qaTSDliD/2EqSZx4IcaQvPNtzHDVr2/oaYk/LIZDLu3x6PzUwlNSIDJrzjctSNir9uz
fg+NTePLg2pwMzZ9DN1WQ+EWwGxs+Mj8bzNC8qnXBdaw79oOxovNUU6VVV6chP1jj6KMRR40kqir
iPRhb+gkYZQ83pcNW0l4JrjSeSCc3ZT/w4jv0fJYpSyPwN1wOZ9Nvc5BzNyuONBvESLpuZrS87B4
ZwwCM2XyePoxlyBh8Ue4ejaTypeAN+8jWF5Kr9nP80Dk0bhURRzE9x/+jmtp9Z+EMHmqPKgzaThS
/0HPngL27wX+WQ/MnQP8PAEYPgzo2RPUB8YOox6mRP5SUyJlJQUIDvRDcgKPpbwQlyb0wXJX2RBq
tuFIDNsVrpJpfmh52M/9CoCumuxAofccRNvORJRNLfHhWij0nYsYu5mItvsLvLtLUViio7RtVdoS
RJ35DYEnJiP85hIk+q+FhFEO7EJu4EpUKK1jQxvFfrX7enxrITJTteVlOigNnI0o6xnK2MxGRvw6
pN6chZgby1AqUalTuAGp12fhsfMKFEQvRqzDLPACN6GamaGoSlyMxw6zER+8GWJqX4LQvxB06k+k
ZSq/VzYyg5Y3m0CaQB5UbyHuEC5rHkQh4wopUdxdeP3yFRzO+aFMWIqMndNx3+sJqrMCEbqAkk2v
PxHkz0OBhwEsOmvg+Jx9CDA+ggD9TbD6YSVi2caqnzxGst5YHNAYgju2ISivFKDEbDIOvf897l3w
lmaq88PB0xpGyewbuN6OgpDeriQGSXt+xtm/TyMzgw+x/w5Yb3FHVWUass3m49hrvWBz0of6gtU5
5mRUJV+B0++rEXReG/aLjiNPjUnZhiDyaBwkpamIcDoCrYVauBZT/9CnKg3Ko6pCJoFJvwGLFgJb
NwOHDYBLF4BbTsBDfyA5HtA/AHTpAhgeAoICAOpvk7U+ClWJREfUlUg1td9d2v/ivU6dKD8NpY6v
K8b8+AOS4h8zcgLkXV+BsVO0YW57Af/NXwGz2Be/dPxFeXZ5UPDn40bPt2BzZR2KU/5B7t1xcNy9
gPr4F+BmzzdhcXoVshxG4VzPXvDw3UFtsxsFl4bi4vTxSM7cRS1ro9Dua5z59ReUMBvxwj9h0+cj
PEx5ekOMioW41bsNzE2WI8drMmwHvourprSMtBG142uEBW1AodXnONRzOGLiNyDzKtXGWGtDeHM4
DDXawfHGv4z6KOFcGIgDrT6Ae/huankHYhe/C93uw8ErYexTQp2sTm4D3WFjkFNFicR/DK7MnoqS
4sW4P/ELPM7ljkCaRB4QP4Eg/xW7yoOfgoKYlEa5+RyX5HHsqI80S9O27X61j4kLVKRHIDo1v+6d
ap+CWvJgK2NCnfhgzWr2snpoSCI7tm/Fd6O+RUaq7KaIVUI+jlDS6tunD4oLlH/PIS54jPBHUchT
Y36nOXgueVStgtvgtnC8TYuAXqcDfs42SqLU+s/egf2NndS6HYic3hr7Zs6EKGY8zncfiNBsZuO6
C5lOc1AkVizroPjkEBzr1hqn9Var9EhYEK+Bx3DFvnSQq9MVul//RNWng7LMzdIeg9jtSxj2GYFU
EZWXbEFpjg4kIWNg/203GPz0G4oV4qpaDZ9lfWD69od4KBXAbmT99znOffE2Lh//p6b3IYmYAKfv
u2LfuIngS7YhbPI7sDCnJbQLqRvew5l962T1PYXmEEgTyaOxyUXamZ1w37lDCQ/jW3jCmn+54Yo8
7GzCpDkm77y9jzX7/0RLyUNB2CMRpk+rlYi/bz46deyInMzUOtk5s/6CEd27UVnPJV5YHtTZeMSF
2ahUrFfIQ6IF/x9awVhrEdK2vw+9cZPAV62HCbWt1+KxSLryKfT7j0SqkCXDhCkP4Vr4abbFKZ1l
EDMySvKQr5OEaMLFZCpsu3fGfX+Z/ET3f8DdC7/Coh1DHntGI+D8EBh++h3SpdvvQIL2twgxHoj9
tDwE8+HUoyPu+NJ16KDEsCf2TJkurU8dmlog9cpDV8cVv/x8mSOcwsiOC/Cxxt9K9H9nJ8ZMYMu/
3IwdYyZtqMf8eIG1XJUff7ggzWuONWMtV+X70eel+Z/GXWQtV/DBB4ekOVXo/bHlm4txmucxoP8a
6vWEJuHLL7TR9p16hrmaQR4KaIlMnSKmdidBu3YBrBm9/3ZT5Rqs70NdRo+eisGf7qVes3/eL8p3
n+lj1Qj1Gz0pUnm8gbNrfkGA/ghcXjINIsX6IW1wXmsS/HcMhdXS35BetBPRs97Anql/yARDU/4P
End/CMMeH8PDR9ZLqHQfjZuntSApn4+bfdvB3pEe7pLn2aDlMawNzq4YBYu+bXH56GrZMTCoTx6u
F7cgbUtnHJ41AwLxJoRojUFK4XRYKsnje4TmLsW9T9rC1nobJJm/w1lnEQRXBsnkUf4XbNp3hpt0
mEsHpUd7Q1dzUs1+1IEWiC719xFPD6my/P28CPXKw8E2HPv3uhNagH+33ZU20tu3OrOWq7Jl8x1p
XnvHPdZyVTZq3Zbmd++6z1quYMQ3p6U5VfR03VjzzYXef/cx/+/91Gu9JmHB39fQoQMlCZb/F80l
D2G5EMdNKtGzRzXV6xCifXv23oXBwf34/LMhrO9DXXZs18XyZWbUa/bP+0VZ/+dRHJgwnrVxqxdm
z4NqOIt4G2TDTMyeR01+N7J3doHu4B+RXaVYRzXsXiNwtO83SJY27NsQteYT3D40EYEnfoP71A44
OGkqylQntZnU9Dx2IPdwH+h/Nhpp5cqZ+uWxHZLEX3Hpvd544PgT7hivo6TFIo9i6r2Z9IP+6J/w
+MBI+PN0UKmQR8US3PmwE5z9ZJ9Bvl43HJw9q2Y/6hByfioOdemEQl5Unb+dF+UlGbZ6teDKsFVZ
SSk6dToozSpYtaL5riNvKVpy2IopjV49q3HyeCVEAqFUEOaXlX98WpCbiQ/79YOXu4vSeq7xvMNW
rp++w5jzqF3vNoRq0J2Y8qAa7MRJuNKxPa7f2lazTuw9Asb9RiCFatjpcud9y2uGnKrT6HwvPKAa
a0W+DuLVVM9Dvi/xejyc8A6Oay2CkJERu9Ly+EZZHsFj4WK2nXq9HTF/t8WBD79AZAFVVj4NFm37
ITBHJo9MvdEIKaLWF8+C/QdtYLx0Bsqp7SsvU/LQnEiJbScSlnbC1fP0e9oJ3vxOsLyytWY/T4MW
h1Hvbk0iDhoiDw7CpQlzWiCzZlrhh+/P45C+J2vm/42WkEd90lCUhz16iG4ffID1a9dQx3cdp04e
w4D+H1O91C1K9XCR57lUt8htLC6+o4FT6/9CboGigafWu2vi0rsaMF0/i7GeRgcCn59hM+Zj3DT8
E3HOsxCk9THOzJyMvNzlCJjeFeb7lqJUPnku4v0B+z4aODxxPFLS6GEhRT0KtFHkQe2rfe2+qlOn
wbLLOzDb8jvSs6jlorXgbeoG3dd7wvXueplUyjYgaV9/nF0wFZnZuyF++COsty1BlWQLsi8Nx7FW
7WFzajkKHi+E16894HBhBcqqdiFj92Dc99mF6tyVCF30HnR7D0HQw82oSvsTTtNGIMhsDOyXUO+F
0bNqiKYWBw2RBwfh2qW6rxp15EH/2M/JEViyGGjfXiYPOxvZD//2UP9Z168F6OGEn8YBQz8HuneX
ZTb+o1QvG6rSOHGsUrqOLUtfaUVfrvvrLz9j3pzZuO98izXHNZ6r5/Hc7EZFxlrkhK5BUYFKr+Vl
pWIzCmIpkbCVsdAc4qAh8uAgRB4ti5I8aAmo8uUXwNTJMpn8uw04chi4chGgG/PgQCAtCRA0/LuS
Z5HGy07zyuNZ+Rdp58bAffePSngcm48nrHlu01zioCHy4CBEHi2LkjyiwoG4mKfKQF1oQdCioIXx
/y4NBbQ8dF97DQ7zv+Ygn+NM19ekVyQp0f4DXJ3Hlucu1n8MbTZx0BB5cBAij5alwTmP5+RVlIaC
Ikq+oWbnCM1AkdIdB5oWIg8OQuTRsjSmPBqShigpHldN/XHeIgY+95MQW5CDBw5hsHVMRnbNrdSf
IN4jEnZW4bj9qBCVCXGwuBiES2YyrLzyavZFww9+hEuexZDwcxF4IwL21uFwvJGIpJonbFagIDSG
qi8UtlYR8E+W3TZFkpeOu9bUvu0SkSa/rRA/PhZXT/jA2DgAtq7xcPXJrfm1vig5Dnb3apdreJKK
a2bxKFS5FbwwPAmhzAeqPcmBn1M4dRxhsKOO8bpTLAJ5xY1yhwZC80DkwUGIPFqWxpAHUxr0vIZq
T0OcEIjZv7shpKQCZZFBmDfUCpaldKMchnk99uArneTaOwAXR2DhNC8kV5bCccExDBp1UfoDz7F9
dNFjA+NX508SsG2ALj7TyZQ26sIHdzDgDTOcTFP5zYowGyc09+C1CYGMW7bz4bbyHObbFEIiLkPw
kWv4fpYvHmZTchHk4b72efSfFyk9pqqkWOzV3I9OC3kqD2krhc8WE2gMcQVP6cmfxbCcYYTxx3Ol
z/lRrK9wdULvdy/DOCALvIBonFh6FgNH38Gt9Hp+Y0PgFEQeHITIo2V5EXmoSoOeFGdKQ0G5hSW6
/uiNWOlNNCuQYRaA6/TNPytzYTTnND7vZIQlDnRDTq0TJGD7xmCUV+YhKoLqVUjr4OPGX+ewOVhx
w8VS+B64Da0Zxhgml4c4zhPfdrSCHf2UTWmmloq7juje7hqu1Tz1MxV6i90RSTX6VYH38fn7Fria
zdhGlI2TR8Kld5SmlwuNz6Orijz43p7YuMEKHw1VlockwQ+z+h/G21+4IpJx01BxuAuGd7bFDcXx
VRbCZoYBOk0PQa5Kz4XAPYg8OAiRR8vyPPKgf5NB/zbjadJQIEkLwawPdPHeyBswCyyBuJwvu+Mz
JQ/jjR7wv+6ID9+7iBPR9Jm/XB7MOvhRmEedpYcqhpiohnvruQwEaKknD4jSoDNkD741yJZmK1yc
sUzaMxAgcJMJXvvRFzkq24jKa+/QW2SiIg+q16O/ORAxvrfRX0keAoTp2UPXJRh/djLGP561d2au
Iw+KCgcbdHzjKq4U164jcBMiDw5C5NGyPIs8nlUaTITxUdCZdBRtNPQxSisCKXSDK5WHJ9X48hG6
9yw6Db4D79z4OvKouGGLH7RSZHMOdMO9KRAJIgEeqisPqreTefIi3ux3G/6CEtiudoKl9KmffDjO
PojWU1RkpYKyPOhezx2cjxWgyl9FHqWx2L7cn3pvZXBZboTucyNQKq+DTR4ir5voq3EeJmToivMQ
eXAQIo+WRR15vIg0aMTJOUiVDuHwkeR4ByPaHsD0KyUMeVBllXm4Ov0weky7gyVazMacj7vzL2BT
ED1kJQBvvxk+1bTGvDk2+LW/Ht4ebIED96jeDJs8Kitq5x2KI/F3p4OYecILy7Qey+sXIOTfY9D4
0oOSkTzHAlMe4mgPaH5yEXOo/c/9xQSt3zqBP/bJHlZVaOmEaWs8ceKYL47vvIo+HcxxIUMmBjZ5
FJqZ460uDnB+hs+S0DIQeXAQIo+WpSF5KKTRu9fzSUNBxU137HdTDOFQMlhshLFGeZBU5uColgce
KxruwjhsGqQLjYkPa+VRzsPiMbcRIs+UZ2QiIiQN4SEpsJ5/BANXRSMhT8AiDwESbcLgU3O89BCV
MTRamWJngGLuhGrUIz0xqu0xbPJk/LalsgghDzLAly8r9TxKC8ALpfefhmBLO/Qa4ITbscWAKAem
G9wRpLjNCiVD0/EH8e0Bec8ozAXDmPIoScSu4foYuTeNXHX1EkDkwUGIPFoWNnkwpdGjezWOGT+f
NBRUODlh+Ch76F+Owh0LdyyYcQ8ueWVI8/LG5MFXcMgzt+Zqq6pIH0xaEFQjD6GLA8YphqyUYAxb
8XPhbWCOzhrG+GuPDyU5HxzaYoEflkfUCIBGEueN8ZpeiFfqZQiQYnsTowecwvR1bjik74F9+wPg
Ib9qS5KbBvM5htAYcR8eCWWM7ahjVQxbVRTjobEFPvvZHX7pcjGVZOLqHCNodL0C/dsJcN17ER1a
n8A8XS8Y67tg7QwLLDNOQB6ZLH8pIPLgIEQeLQtTHrQ0TE80njQUSEpKUEY/NjkpA+GR+eA/pcGs
4DMmmjOzkZDfWHMCfBTl1/N4YVEJ0iLTEJNUQnoChDoQeXAQIo+WhZZHV0oeTSENAuH/BSIPDkLk
0bJcMxehVSsiDQKhIYg8OAiRR8tSWizEjn+riDQIhAYg8uAgRB4EAoHrEHlwECIPAoHAdYg8OAiR
B4FA4DpEHhyEyINAIHAdIg8OQuRBIBC4DpEHByHyIBAIXIfIg4MQeRAIBK5D5MFBiDwIBALXIfLg
IEQeBAKB6xB5cBAiDwKBwHWIPDgIkQeBQOA6RB4chMiDQCBwHSIPDkLkQSAQuA6RBwch8iAQCFyH
yIODEHkQCASuQ+TBQYg8CAQC1yHy4CBEHgQCgesQeXAQIg8CgcB1iDw4CJHH/zvlyPCPhoNNGOzt
YxCaIZCvL0WS6yOYHn2AY6fC4OHBg3+iokwISUE6bl95BOfwEtm6J5m4edILRudikSxU1P30egiE
xoDIg4MQebwCCNLx33A9jDyYAzG9XJqCo1PPY86xZOSJhBAlP8au0caY78Cn8hXIu3cfUyY6wylJ
LgFRBpW3gEk0H5mWVvhsUiBSKp9Wj3zfBEIjQOTBQYg8XgUKcXLsXkwyK6NeCxCsfRKdpz1CHiMj
5vnhqE0ZxDG+0Ox7DebpFTVlVT430HuoG5JoYQjisOIDY2x9xG+wHsUygdAYEHlwECKPVwGGPIRJ
2NJfF2NMClUy5RAKyuA8Xx+dJjhDb/11aBnxkEX3KO7aoWPn6/ASUDmqF7J7gC6mXo1roB7mMoHw
4hB5cBAij5bF11uIwZ9K4OkmYi1vHBjy4Edgbns9TL3M0jsQJmNLvz343iAdJfkJ2DZ4L77clwE8
iYXWh/sxbI0/zE/dwJca+lh6J6z+egiERobIg4MQebQs9raVePvtarR5oxpjfmwqiTB7HinQHqSL
r/Zly+Y/mAgTsL63IVa5l1PLFcg2OguNCUGyspIceDtGwdvKAd062sOlpIF6CIRGhsiDgxB5tCy3
b1Tig67VSE0SYuVycRNJpBAnaHlcoHsJAsQcPIt2g27jQUltRpKdAr/IfFhPM8A4U9lQVJHpRQxY
n1iTgTADh0cb4w/zfEgarKe0dhsCoREg8uAgRB4ti0IeimWmRMaOaQyJlCPD1w8ze+ji43kPEZQu
AES5cFh3DgOHW2CDricO7XPHwdOxyKwUQhwdgD/H2MLEKgCbZ9+GQ1oFUFmGnNAomGywxxbzLIgU
dTdQT93jIBCeHyIPDkLk0bKoykOBqkS83Bt/OEtcmIeY0Ayk5qv8LqO0ALzwHBSJ5Mt8ajkiH6WK
ZRXqrYdAaCSIPDgIkUfLUp88FDSHRAgErkPkwUGIPFqWp8lDAZEI4VWGyIODEHm0LOrKQwEtkRXL
xHijdTU0xxKJEF4NGkkeApSHOiHa6iqFLdKTZbdCqM57hHhrC0TbeSIv2g2xttbg+SWhWmX7qjg3
PLa1RXwgDwW+1xFDb2NVS4xbJCpVtpHyhIc0JysqY4loGxvEuvii5ImiXIBSPztEWV5VxsoOGbxI
pF63RozjA5SqTiSWxyDV3hqPb/mhIMYDsTZU3daWiHG4gbTY3Jpjr85+gAjDffC38oOgkScjiTwa
kcokBNiaw/KaOWw9Y9W6hPVZ5aFAVSLeHi0nEUlBCG5fuQjn8BzWcgLhRWm8nocwGoGab0B3wmkI
ataXIGnlKDjYpFKNbj5iF3aHbrf54BUytqtMRsikdtAdqo0c+uZuAm/cG9AW105FojgxFsUxrvDZ
egS59UwMVrmugFG7CQgICEaK6Uwc6/EzHkbR18QXIWr7CoQFRKPQYhYO9ZiPGF40Mq+sgqtlEYTX
F8BQoxscHXMZ9VHCOfcbDrz2OdxDaAGWIHF5DxycfBzZQda4O6IHzu32gOjJfbhNnoJbm1fgSo/W
OLraufZql0aAyKPxKLb/B7/+OhXTp/2B7daJkLBkVHleeShoWYkIkHfvX0yZuBFOSeR+VoSmo1GH
raruLoZhuymIyJWvEz6E52JdecPPR9bu2Tg3/D1cNuHVnMFLQg/AafQQ7NM0Bp8+gxfF4ME37WFt
Jb9zKEVlVlq9jbMkfCdOdZ4DHp9aFj2C+xANnNkfI73mvSwtRbof8f0lMOy9Gqn0LRoqU1GaKYAk
aCfsRw6DwTgjFCt6DsJg+Cz5DqZvjcXDLPoqFT6ytQfDYK49qqhy0bWp2Pv2H4iK8kRaEi0oqm6f
tTDuswZpjXj7ByKPRkIUiUMzFsIySb3PRcGLykNBSmLzS0QcYwTNvpNhTl/+y1JOIDQWjTvnIQqm
Gu/WOGcQLT3Dq3Khzn6Ox8lFQclDdzMCzs6A4ScbkS5tbAuQ8O96hBhNxP565FHldx7hQfWfQTHl
URVpgEtdhsLdT/kHUUryUGwXpAOXo6dg220Q7vvI8iLnbbh7zhAWbdnkIUCx0Wjs+XCdkigkj7bh
3KTTsmOXr3tRiDwaB0nSRSz/tj/aa7yBTxdfQUI9vVdVGkseCpgSGafZlBIpgvP8Tug0YRP01i+H
lpGz9D5Y7FkC4cVoXHnQwz4nx2Jvv/WUHLIRvXolIvMUZbQ8tiI0yxP3BnWFrWUWJGln4LzLBYJL
k1Xk0QanlhggwNgAbjMmw13euLMhlUfbr3Fj4yQc7ToO/mEFdTL1ycP1QhrSNg3E4ZlXIRAlIWSD
NlLyLsBSSR6fYv+odXhotBUO0xch0Ce7pg76V8Ip/86Ch1/jNsJEHo1LVao9Vvd/C98ZxTbLsFV9
0BJZvrRWIg88G1kiQl9s6dca3xs8Qkm+O7YNboMv94WxZ5uRZLf7uDbxJ1hOnUBoYiKuXmL9DpqC
RpYHRbEd7Dt1gM0JfThp3WJMdMvlUVCOoqNjoP/dXjzetwb+0eWorCOP2p5HdX4k8hvogtf0PEpC
4Du6E05u9agzuV6/PHIgiTuCS51G4YHdHtwxioCkVFUetcNWzDppUfLv7oHrpUi1GqRngcij8Sm/
OR+D59ijgqVMlUaRx5NCIP4x4PcAuO4AbPwHmDxRWtZkEhF6YH3v7ljlTp9sCZBtNAIaE87VzTUz
4ZfNYPLR+3jsOIvQhIRf/QNHeryH8CtmrN9DY9P48qAa3IxNH0O31VC4BTCHm/jI/G8zQvKp1wXW
sO/aDsaLzVFOlVVenIT9Y4+ijEUeNJKoq4j0YW/oJGGUPN6XDVtJeCa40nkgnN2UG13xPVoeq5Tl
EbgbLufpXkQOYuZ2xYF+ixBJz9WUnofFO2MQmFnb8zCYa1dHHhU+x+F53k86F1OdG4TsRNkcSGNA
5NH48G+swWLTRpowd3IELpwFDu4HNmkB8/8Gfv0Z+OpLoHdv4K23QH3A7DDqeR6J5GSm4t6dmwgO
9GMpz4X1tPcxzjRNulxkqokB6z1VMs0PLQ/7uV8B0FWTHSj0noNo25mIsqklPlwLhb5zEWM3E9F2
f4F3dykKS3SUtq1KW4KoM78h8MRkhN9cgkT/tZAwyoFdyA1ciQqldWxoo9ivdl+Pby1EZqq2vEwH
pYGzEWU9Qxmb2ciIX4fUm7MQc2MZSiUqdQo3IPU61dA7r0ABbwli7f+S1h3j9DfSEv5FtTxXnbcM
EUbj4W+zAgLVOp5C/uO1zSaQJpAH1ZjGHcJlzYMoZIy3iuLuwuuXr+Bwzg9lwlJk7JyO+15PUJ0V
iNAFlGx6/Ykgfx4KPAxg0VkDx+fsQ4DxEQTob4LVDysRW6q8DylPHiNZbywOaAzBHdsQlFcKUGI2
GYfe/x73LnhLM9X54eBpDaNk9g1cb0dBSG9XEoOkPT/j7N+nkZnBh9h/B6y3uKOqMg3ZZvNx7LVe
sDnpg4Lou/Ac2w66n63B4/DMmkl+oecOnH5bA3vbtcOBdm2xp/1khNUMz704TS2PmMgMaf7/Wx4C
pB0biy6DpuCf3Tux84A1EhtjzqOqQiYBWhibNwL6BwAz6uz+xnXA3wdIjKVOQKjvgfrblYrk4nkg
KY69LjlMifw0jl0iYlE5Vq9cgU4dO2L8T+MwcEB/fP7ZEESFhyjnok/gzzFzYWJ1Eptnb4BDWv29
9ubi2eVBwZ+PGz3fgs2VdShO+Qe5d8fBcfcC6uNfgJs934TF6VXIchiFcz17wcN3B7XNbhRcGoqL
08cjOXMXtayNQruvcebXX1DCbIAL/4RNn4/wMEVZOqxULMSt3m1gbrIcOV6TYTvwXVw1pWWkjagd
XyMsaAMKrT7HoZ7DERO/AZlXqTbGWhvCm8NhqNEOjjf+ZdRHCefCQBxo9QHcw3dTyzuRuPJdHJwy
Gdkhs3B35Ls4998SiPiL4DblE9za+jWu9GyFo2sXQMQ8JjVoLoE0iTwgfgJBfm3PgfBsNKU89HRd
pVma11/XQ1REOmvu/4LKfKSGPkRs1rP9LaolD7YyJrQ81qxmL6sHVYn4eNVKZB1V16+//IySwtpL
yy9R0urerRvyslW+w9IU8MIf194Hq4V5LnlUrYLb4LZwvE2LgF6nA37ONlTT6z97B/Y3dlLrdiBy
emvsmzkTopjxON99IEKzmVLYhUynOSgSK5Z1UHxyCI51a43TeqtVeiQsiNfAY7hiXzrI1ekK3a9/
ourTQVnmZmlPQez2JQz7jECqiMpLtqA0RweSkDGw/7YbDH76DcUKcVWths+yPjB9+0M8zKWPcTey
d3WFwd9zUEWViyw/wd53BiPq8VKkpcreg9h/JIz7jkAaXTddxzPQHAJpInk0NrlIO7MT7jt3KOFh
fAtPWPMvN00lD6trITXiUNC6tR5r9lWmpeShIDlBiGVLaiVy60aetMdRlM+8WEPGkkULcWDfnjrr
ucQLy0OyGREXZqNSsV4hD4kW/H9oBWOtRUjb/j70xk0CX7UeJtS2XovHIunKp9DvPxKpQpYME6Y8
hGvhp9kWp3SWQczIKMlDvk4SogkXk6mw7d4Z9/1l8hPd/wF3L/wKi3Zs8qCkZtwHez76VkkUkrAf
cG7yVPCfcehKQVMLpF55aG24ha++PM0RTDCo3Up011ihRI83d+PzL9jyLzdDPz8pbdg/p/5lK1fl
s89k+WFDTVnLFXTqpF8jDSaffnKcNc9Vhg09gQ8+WEG9/qJJ6P/xOrz9dj1Des0gDwW0RBb8LcZr
r0mo44lkzej9t5s6HA3W96Eun34yBj17/ke9Zv+8X5RP+x3Awi9msjZw9SKVxxs4u+YXBOiPwOUl
02TDN/T6IW1wXmsS/HcMhdXS35BetBPRs97Anql/yARDU/4PEnd/CMMeH8PDR9ZLqHQfjZuntSAp
n4+bfdvB3pEe7pLn2aDlMawNzq4YBYu+bXH56Oo6Q0j1ycP14hakbemMw7NmQCDehBCtMUgpnA5L
JXl0wf7vvsVD4+/h8McXCPTfXlMHPeyWov05PAIVPa/ngxaILvX3EWNjVedv50WpVx5u93k4bepP
aAEMDnpKG3X9Ax6s5ars3+suzRse8mItV/Dz+EvSnCrHjH1Y81zl5HFfbN18nHrdNKxdc4MSLfv/
i+aSR0mhELo6VejYoRq9egnQvv02SCrrzl/s26uH0d+NYn0f6nLE0AS7tG2p1+yf94uya6EJjk4c
y9q41Quz50GdmRfxNsiGmZg9j5o81RDv7ALdwT8iu0qxjmrYvUbgaN9vkCxt2Lchas0nuH1oIgJP
/Ab3qR1wcNJUlDV0Vl/T89iB3MN9oP/ZaKSVK2fql8d2SBJ/xaX3euOB40+4Y7yOkpaqPGqHrZh1
SofoXH6C6xVqmzplz4aPwc8w/rAXStOS6vztvCgvybDVq0VTDVvRjU/fvkY10qDZ8e9d1uyrTEsO
WzGlQT9H3cqikvrehBjxzdc4ceyoUjYjNRE9e/TAQ3qinrGeazzvsJXrp+8w5jxq17sNoRp0J6Y8
qAY7cRKudGyP67e21awTe4+Acb8RSKEadrrced/ymiGn6jQ63wsPeLL5BVbEq6meh3xf4vV4OOEd
HNdaBOobqsmIXWl5fKMsj+CxcDGjexHbEfN3Wxz48AtEFlBl5dNg0bYfAnNqex4Gf8+uI48K/8nw
NFsh7eVUF6xCtjqT+yzQ4jjWv2+TiIOGyIODNOWEOS2QjVq38ecfFrh0Qf4sbIISjSIPqkfwLPKo
TxqK8nheFHr36oW5s2fhyqULVPX/oUf37jhscFCpHi7yPJfqFrmNxcV3NHBq/V/ILVA0ntR6d01c
elcDputnMdbT6EDg8zNsxnyMm4Z/Is55FoK0PsaZmZORl7scAdO7wnzfUpTKJ89FvD9g30cDhyeO
R0oaffWToh4F2ijyoPbVvnZf1anTYNnlHZht+R3pWdRy0VrwNnWD7us94Xp3vUwqZRuQtK8/zi6Y
iszs3RA//BHW25agSrIF2ZeG41ir9rA5tRwFjxfCU7MNdD8fgcdR22ou0xU++BGnqfe99902OECx
p8MghBUyj0s9mlocNEQeHKSpL9UlNEwdedCX2poYAd+PlomD5uwpgJ6o/mc9MHcOMGE8MHwY0LMn
0KaNLLNLW6leNlSlYXlNWRrK2VwcPXIYf1P727BuDUKCAlhzXOO5eh7PzW5UZKxFTugaFBW82HzB
y0pziIOGyIODEHm0LEryUMhCwbvvApN+AxYtBLZuBnXqD6oLB9xyAh76A8nxQPnTfz/zLNJ42Wle
eTwr/yLt3Bi47/5RCY9j8/GENc9tmkscNEQeHITIo2VRkkdeFlBWXCfzvNDS0PuvCp06/v9LQwEt
D/qKn2sTP+MgA3Gi02vS41OibWdc+JUtz10u/jig2cRBQ+TBQYg8WpYG5zyekydFr540FDxJTUTs
dQdCM1CWmcr6HTQFRB4chMijZWlMeTQkDVFSPK6a+uO8RQx87ichtiAHDxzCYOuYjOwasTxBvEck
7KzCcftRISoT4mBxMQiXzGRYeeXV7IuGH/wIlzyLIeHnIvBGBOytw+F4IxFJNU/YrEBBaAxVXyhs
rSLgnyy7/FeSl4671tS+7RKRJv9lOj8+FldP+MDYOAC2rvFw9cmteRKjKDkOdvdql2t4koprZvEo
VBGjMDwJoVSvqzaXAz+ncOo4wmBHHeN1p1gE8opZbkBK4CpEHhyEyKNlaQx5MKXx6Sd1exrihEDM
/t0NISUVKIsMwryhVrAspRvlMMzrsQdf6STX3gG4OAILp3khubIUjguOYdCoi/hp3EWM7aOLHhsY
Z5pPErBtgC4+08mUNurCB3cw4A0znEyrqM3QCLNxQnMPXpsQiKKa9Xy4rTyH+TaFkIjLEHzkGr6f
5YuH2ZRcBHm4r30e/edFSo+pKikWezX3o9NCnspD2krhs8UEGkNcwVO6NUoxLGcYYfzxXKUbU1a4
OqH3u5dhHJAFXkA0Tiw9i4Gj7+BWusrxEjgJkQcHIfJoWV5EHqrSsLjKPjxVbmGJrj96I5Z+9DLV
G8gwC8B1+uaflbkwmnMan3cywhIHuiGn1gkSsH1jMMor8xAVQfUqpHXwceOvc9gcrPjhYCl8D9yG
1gxjDJPLQxzniW87WsGOfsqmNFNLxV1HdG93DddqnvqZCr3F7oikGv2qwPv4/H0LXM1mbCPKxskj
4dK7YNPLhcbn0VVFHnxvT2zcYIWPhirLQ5Lgh1n9D+PtL1wRKX2/MsThLhje2RY3FMdXWQibGQbo
ND0EuSyfGYFbEHlwECKPluV55EFLY4/u06WhQJIWglkf6OK9kTdgFlgCcTlfdsdnSh7GGz3gf90R
H753ESei6TN/uTyYdfCjMI86Sw9VDDFRDffWcxkI0FJPHhClQWfIHnxrkC3NVrg4Y5m0ZyBA4CYT
vPajL3JUthGV1z52oMhERR5Ur0d/cyBifG+jv5I8BAjTs4euSzD+7GSMfzxrH9NQRx4UFQ426PjG
VVwprl1H4CZEHhyEyKNleRZ5PKs0mAjjo6Az6SjaaOhjlFYEUugGVyoPT6rx5SN071l0GnwH3rnx
deRRccMWP2ilyOYc6IZ7UyASRAI8VFceVG8n8+RFvNnvNvwFJbBd7QRL6WMF+HCcfRCtp6jISgVl
edC9njs4HytAlb+KPEpjsX25P/XeyuCy3Ajd50agVF4HmzxEXjfRV+M8TMjQFech8uAgRB4tizry
eBFp0IiTc5AqHcLhI8nxDka0PYDpV0oY8qDKKvNwdfph9Jh2B0u0mI05H3fnX8CmIHrISgDefjN8
qmmNeXNs8Gt/Pbw92AIH7lG9GTZ5VFbUzjsUR+LvTgcx84QXlmk9ltcvQMi/x6DxpUeDz3xnykMc
7QHNTy5iDrX/ub+YoPVbJ/DHPp40V2jphGlrPHHimC+O77yKPh3McSFDJgY2eRSameOtLg5wLlfe
H4F7EHlwECKPlqUhebyoNBRU3HTHfjfFEA4lg8VGGGuUR9WVg6NaHnisaLgL47BpkC40Jj6slUc5
D4vH3EaIPFOekYmIkDSEh6TAev4RDFwVjYQ8AYs8BEi0CYNPTcNMD1EZQ6OVKXYGKOZOqEY90hOj
2h7DJk/6cbbybGURQh5kgC9fVup5lBaAF0rvPw3BlnboNcAJt2OLAVEOTDe4I0jxBE9KhqbjD+Lb
A/KeUZgLhjHlUZKIXcP1MXJvGrnq6iWAyIODEHm0LGzyYErjk0ESXDN/PmkoqHBywvBR9tC/HIU7
Fu5YMOMeXPLKkObljcmDr+CQZ27N1VZVkT6YtCCoRh5CFweMUwxZKcEYtuLnwtvAHJ01jPHXHh8c
N/HBoS0W+GF5RI0AaCRx3hiv6YV4pV6GACm2NzF6wClMX+eGQ/oe2Lc/AB7yq7YkuWkwn2MIjRH3
4ZFQxtiOOlbFsFVFMR4aW+Czn93hly4XU0kmrs4xgkbXK9C/nQDXvRfRofUJzNP1grG+C9bOsMAy
4wTkvcDnSmg+iDw4CJFHy8KUBy2NvXpVeK9T40hDgaSkBGX0Y5OTMhAemQ/+U+qs4DMmmjOzkZDf
WHMCfBTl19athKgEaZFpiEkqIT0BQh2IPDgIkUfLQsujS5fqJpEGgfD/ApEHByHyaFmumYvw2mtE
GgRCQxB5cBAij5ZFJBDiuDGRBoHQEEQeHITIg0AgcB0iDw5C5EEgELgOkQcHIfIgEAhch8iDgxB5
EAgErkPkwUGIPAgEAtch8uAgRB4EAoHrEHlwECIPAoHAdYg8OAiRB4FA4DpEHhyEyINAIHAdIg8O
QuRBIBC4DpEHByHyIBAIXIfIg4MQeRAIBK5D5MFBiDwIBALXIfLgIEQeBAKB6xB5cBAiDwKBwHWI
PDgIkQeBQOA6RB4chMiDQCBwHSIPDkLkQSAQuA6RBwch8vh/pxwZ/tFwsAmDvX0MQjME8vWlSHJ9
BNOjD3DsVBg8PHjwT1SUCSEpSMftK4/gHF4iW/ckEzdPesHoXCyShdRySQ58b4TDzioMdtbhuO7E
w8OEUkjk2xMIjQmRBwch8ngFEKTjv+F6GHkwB2J6uTQFR6eex5xjycgTCSFKfoxdo40x34FP5SuQ
d+8+pkx0hlOSXCaiDCpvAZNoPjItrfDZpECkVApR4eqEvh3MYRqajcc+odAea4LvdiegjLlvAqER
IPLgIEQerwKFODl2LyaZlVGvBQjWPonO0x4hj5ER8/xw1KYM4hhfaPa9BvP0ipqyKp8b6D3UDUmU
MCCIw4oPjLH1kQDiCFd82dUON/mynIiSSe/3bHFDvkwgNBZEHhyEyONVgCEPYRK29NfFGJNClUw5
hIIyOM/XR6cJztBbfx1aRjxk0T2Tu3bo2Pk6vARUjuqF7B6gi6mWlGiY8hAVwkPLFJ1/8kMitY1y
3QTCi0HkwUGIPFoW1/si9OpVDTvrSlRXsWdeHIY8+BGY214PUy/TvRCVnDAZW/rtwfcG6SjJT8C2
wXvx5b4M4EkstD7cj2Fr/GF+6ga+1NDHUtdyqTy+eNsUSw5444CWJb7oboxphokoonsoqnUTCC8A
kQcHIfJoWa47VKJt22q0f7caQz+XNJFEmD2PFGgP0sVX+7Jl8x9MhAlY39sQq9zLqeUKZBudhcaE
IFlZSQ68HaPgbeWAbh3t4UL1NuoMWwXfw2caR7DWjd6eUS+B8IIQeXAQIo+W5faNSnzQtRqFeULs
3FHVRBIpxAlaHhdkcx4xB8+i3aDbeFBSm5Fkp8AvMh/W0wwwzlQ2pFVkehED1ifWZCDMwOHRxvjD
PF96VZU43AVfMOQhSfPBmNePYA3VK6nZhkBoBIg8OAiRR8uikIdiWSGRd9tVY9hQCextXlQi5cjw
9cPMHrr4eN5DBKULAFEuHNadw8DhFtig64lD+9xx8HQsMispIUQH4M8xtjCxCsDm2bfhkFYBVJYh
JzQKJhvsscU8CyK6Xqon4r73Ejq+cQKzd3niiL4Llv9yDhN3xSCbDFsRGhkiDw5C5NGyqMpDQeNL
pC7iwjzEhGYgNb/29x1SSgvAC89BkWLim08tR+SjlEyEE1oIIg8OQuTRstQnDwXNIRECgesQeXAQ
Io+W5WnyUEAkQniVIfLgIEQeLYu68lBAS0T7XyIRwqtFI8lDgPJQJ0RbXaWwRXoyfUsFIarzHiHe
2gLRdp7Ii3ZDrK01eH5JqFbZvirODY9tbREfyEOB73XE0NtY1RLjFolKlW2kPOEhzcmKylgi2sYG
sS6+KHmiKBeg1M8OUZZXlbGyQwYvEqnXrRHj+AClqhOJ5TFItbfG41t+KIjxQKwNVbe1JWIcbiAt
Nlfp2MXJ9xF9L7bR7x1E5NFYCFAYaI6j+/RxzT9T7e/pWeWhgCmR4cMkcLBtQYk8CcQ1MzcUkoly
QhPReD0PYTQCNd+A7oTTENSsL0HSylFwsEmlGt18xC7sDt1u88ErZGxXmYyQSe2gO1QbOfTN3QTe
uDegLa6dikRxYiyKY1zhs/UIcuuZGKxyXQGjdhMQEBCMFNOZONbjZzyMoi9LLELU9hUIC4hGocUs
HOoxHzG8aGReWQVXyyIIry+AoUY3ODrmMuqjhHPuNxx47XO4h9ACLEHi8h44OPk4soOscXdED5zb
7SG9skWSdBdemm2hv/B23WvzXxAij8ahKvQstHcewdkD09G3rSZOpapMQtfD88pDQctLpBA+W/pD
Y8gu8MiEOqGJaNRhq6q7i2HYbgoicuXrhA/huVhX3vDzkbV7Ns4Nfw+XTXg1Z/CS0ANwGj0E+zSN
wafPkkQxePBNe1hbye8cSlGZlSa7FJEFSfhOnOo8Bzzp7RgewX2IBs7sj6HOMgUoS0uR7kd8fwkM
e69GKn0rh8pUlGYKIAnaCfuRw2AwzgjFirMzYTB8lnwH07fG4mEW3dDwka09GAZz7VFFlYuuTcXe
t/9AtPwaeoHxdzhE5MFZqopzUUG/FoVDb+RoHI5vHnkoaCmJ8L33YeOGP/HRUCIPQtPRuHMeomCq
8W6NcwbR0iGCKpeNcDoeJxcFJQ/dzQg4OwOGn2xEOt2QiwuQ8O96hBhNxP565FHldx7hQbJhMDaY
8qiKNMClLkPh7leqlFGSh2K7IB24HD0F226DcN9Hlhc5b8Pdc4awaMsmDwGKjUZjz4frkCavR2BC
5PEyUBa4F0u2O6OUpYyNxpKHgmaVyBMP6G8+jRjfDehP5EFoQhpXHvSwz8mx2NtvPSWHbESvXolI
6j+OrIyWx1aEZnni3qCusLXMgiTtDJx3uUBwabKKPNrg1BIDBBgbwG3GZLjLG3c2pPJo+zVubJyE
o13HwT+soE6mPnm4XkhD2qaBODzzKgSiJIRs0EZK3gVYKsnjU+wftQ4PjbbCYfoiBPpk19RB5MF9
quJssWvaJ2ir0Q/r79f922CjseWhoIDqke/Y3pQSKYTvAS2cjy1HlT935JFw5yZOfzEEF777gtDE
PDxuxPodNAWNLA+KYjvYd+oAmxP6cNK6xZjolsujoBxFR//X3nnHNXX1cThtXVVbtY5atY462qq1
1r511LaOWq3VWrXurdW6LY5qXYiKiAtRceIW2UNQFGXLFgTZGwRB9g6BQML3PTckcBMuCAom6Pnj
+ZicdW9+xPPknHPvuaOg/f1+hGuugVdoIUqqyKNy5FGWEYwM5g7cinbkqRh55PrDY2Q7nP7Xucri
evXySIU46hiuthsBN/N9uKsTBHG+ojwqp63YbTJQeTQW8uCzqS96rStfr+IuU8kry6MgB4iNBLw9
gNvWwLnTwOTfgP5fSvIbSiKi0P0Y88VozJ07B/Mm9EaTFl9iuuZdzrKvk8Brl3F2UBckuC2lNCDR
dgug26sj+dF9hPPvUN/UvzxIh5u0qTc03h0ER2/2dBMfyXs2wz+DvM40gUWn1tBdegOFJK/kyiQc
GH0cBdWseYhDDBDsnsdqqxLxEyKP9uXTVuKIE7jeoR/uOcp3uqL7jDxWycvDZzfsLzKjiFSEzesE
rZ5LEMys1eRfhGHLUfBJrhx5HJpnTuXRyMk6PxW/68XV6oqrF8rjsj5w6CCweSOwaCHw26/Ad/8D
evQAWrYEWrQA+YNUpW1buXYUJWJl/mKJRIQGwdDgGuxsbVBarDCdm/8UEQG+CPT3hZ/RAnTruxK2
kc/lyygBRh4W874FoFFLtiPr4VyEms1EiGkl0YFqyPKYhzDzmQg1n4UIu7+QlasuV7c0cRlCzk+E
j95kBN5ehlivtRCz8oFdSPNZiSK5NC52IMez8ljhdxYjOWGHNE8d+T5zEGIyQx7TOUiKXoeE27MR
ZrMc+WKFNos3IOHWbITf+xuZEcsQaTFL0naY9QIkxvyHMlZZUeIShDqoKZz7i8lNUHttAmkAeZDR
QtRhXBtzEFmsIbMwyg6uE76Fpb4nCorzkbRzGh645qHsuQ8CFhHZdPsTvl4RyHQ+BMMOPJyaq0kC
cAze2ptg/ONKRObLH0NCXjji946GFm8A7pr5o7BEgNzLk3G4/Q+4f+mhpExZRiAi1L4mMvsODrYh
KGbq5YYhbt94XFhwDslJfIi8tsNkixNKSxKRcnkhTr7TDaan3ZEZageX0a2hMXANwgOT5S7TLUsj
/0nndoHG0P8QH1O/nXZd5eHlHispHx+Typn/dlKImMsrMH3pv9Davw3rtlxASCFXuarUKI/SonIR
bPwHOHgAuHgeuGUJeLoB0eHkuyWdGiPfXfTrC9jcIqPxmv+OXBJRLFNcmIeZM6aj88cfY/bMGRgx
fBi6de1K/vauVcoyqNK0Vd3lQeAvhE3XFjC9vg45T/9Bmt1YWO1eRMK/CLe7NofhuVV4bjkC+l27
wdljO6mzG5lXB+HKtHGIT95F3u9Alvn/cP7XCchld+JZf8K0+2d49FReOpwULcadT5vhxokVSHWd
DLN+H8DgDCOjHQjZ/j888d2ALOOvcLjrYIRFb0CyAeljTHag+PZgHOW1hpXNf6z2iHAu9YPWux/D
KXA3eb8TsSs/wMHfJyPFfzbshn0A/T3LICRlxQmL4Tq2GbSXLoSoon7teV0CaRB5MNMEgozKkQOl
btRFHps22krKMrzzjgacHaI4y72VFCYgws8H4c/qJvdayYMrjw0jjzWrufOqQSaR1q3K8M1geYks
XrgAs2b8CaGgcv3vNpFWxw4dyPckriJNFXkpeZSugmP/VrCyZUTApKmDn7qVjMxI+sCWsLDZSdK2
I3haE2jOnAlh2Dhc/KQfAlLYUtiFZOu5yBbJ3qsj5/QAnOzcBOf2rn7xr3rRGjgPlh1LHWnqnaDx
v59Je+ooSN4sGSmIHIfgaPehSBCS8uItyE9Vh9h/FCyGd8ahnyciRyau0tVwX94dZ97vhUdpzDnu
RsquTji0YC5KSb7Q6Avsb9kfoUXl5QUnu+PwS8qD4XUIpIHkUd+kIfH8Tjjt3C6Hs+4d5HGWb9zU
Vh4G1x9XiIMtkNLi6teIKC9GWfKQwUjkv62VErmkn4aP2rVDgWxUw2LNqpXYs5t0bgrpqsQry0O8
GUGX5qBEli6Th1gNXj++C121JUjc1h57x04CX7EdNqSu69LRiLv+JbT7DENCMUcZNmx5FK+F55hW
OKu+XK5Dl5OHNE3sPwb2J6bA7JMOeOBVLj/hgx9hd+lXGLbmkgeRmm537PtsOBKl7QhOvZo8GBpa
INXKY+liC/TscVxFOIzOzdXQlvePPE3U0Y2zfOOmW7djEhEw/3Lly2jV6oCcOGR8/PERzvJvCp92
O4oPPlhBXvdoEDp1WoIWzXM4/1+8DnnIYCSydnUp3n1XhObNYzjL7N2zm5wOj/Nz1JZPOg9B2za7
yWvueL8qXTrsx6yv5nJ2cNUikUdTXFgzAd7aQ3Ft2VTJlI4kfUAzXFSbBK/tg2D810Q8y96J0NlN
sW/K9HLBMBT+g9jdvXC0S284u5ePEkqcRuL2OTWICxfido/WsLBipruk5blg5PF1M1z4ewQMe7TC
teOry8+BRXXycLiyBYlbOuDI7BkQiDbBX20UnmZNg5GcPDriwPfD8Uj3B1hO/wY+Xtsq2qgPeTAw
AtEg34+gG1erfHdelWrl4esdDzPjJxQlcOGct0QC5896cebLmDvHtEIYbK5f9eMs/6ZgbOiP40cN
yGvDBmH7Nmd89FHVdQcJr0keyYnFWLeGSKNZGb4aWIAPP1wMkbDqA53Uyahj4q8TOD9Hbbl+1QCn
T90nr7nj/aocXauHs3/8wNm5VQt75EF+mWdHbCifZmKPPCrKk454Z0do9P8JKaWyNNKxuw7F8R7f
IV7SsW9FyJovYHv4N/joTYTTlDY4OGkKCsSV5atQMfLYjrQj3aE9cCQSC+XLVC+PbRDH/oqrH30K
N6ufcVd3HZGWojwqp63YbTLUlzzst/2Es19/CUF6/V840Uimrd4u6rLm8d3/zsmJQ0vTibMcpfYo
c9qKLY0fRorhcF8oSR/10484sH+vXNmo8BB06tgRQQF+cumqxstOWzl82ZK15lGZ7jiAdOjWbHmQ
Djt2Eq63/RC37mytSBM9HArdnkPxlHTsTP49zRUVnXFZIlO+G9wi2GskCohWk5GH9Fii9Xj0S0uc
UluCYlYZkQMjj+/k5eE3GvaXmVHENoQtaAWtXt8gOJPkFU6FYaue8EmtHHkcWjCnweTBiOPc4P4N
Ig4GKg8VpK5XWx0/+pD0U9a4bR3MmU+pGzXKg/n1/yJ5MGsTzNVYdZBHddKQkZQQi359+2D8L+Og
c/Qw1Dask6yDXNI/J1dOFXmZS3WzHUfjSksezq6fhbRMWQdP0p3G4OoHPJxZP5uVzqAOgft4mI7q
jdtH/0TUvdnwVeuN8zMnIz1tBbyndcINzb+QL108F0ZMh0V3Ho78Ng5PE5mrn2TtyNiBbGdyrA8r
j1WWMBVGHVvi8pY/8Ow5eZ+9FhGbOkPjva5wsFtfLpWCDYjT7IMLi6YgOWU3RI9+gsnWZSgVb0HK
1cE4+e6HMD27Apnhi+Eyphk0vhqK8JCtcpfplmWuQuD8D6Ax7CfEx8suD64bDS0OBioPFaSu8qDU
L3LyKBEATvblIiC/8iXiYNDSLBfE/HnAhPHAkG+Abt2A5s3L7/Vo3778Ul6FthVRlIa9nbw02DCX
694gHfE/69din4Y64mMiOMupGi818nhpdqMoaS1SA9YgO1Nh1PKW8DrEwUDloYJQeSgXOXnIZCHj
2yHlNwYyNwgyNwoyNwwy93Iwd5Mzd5Xn1+6yYLY0Rn5fszQaO69XHnXlPyTqj4LT7p/kcD65EHmc
5VWb1yUOBioPFYTKQ7nIyYNZ41DIfxUYaaxfK0KL5m++NGQw8mCu+NEf+pkK8il0PuBJzk+OFm1w
+juu8qrL6QFdX5s4GKg8VBAqD+VS45rHS/I2SkNGYWoSnrm7Ul4DRVns5xM1LFQeKgiVh3KpT3ko
SuPBvUppCOOiYXDGCxcNw+D+IA6Rmalws3wCM6t4pMieMSPKQ7RzMMyNA2H7OAslMVEwvOKLq5fL
MXZNr2iPge/3GFddciDmp8HHJggWJoGwsolFXMUTNouQGRBG2guAmXEQvOLLbygVpz+DnQk5tnks
EqVbmvCjI2Gg5w5dXW+YOUTDwT2tYh83YXwUzO9Xvq8gLwE3L0dXeYJhcWAcAtgPgctLhad1IDmP
JzAn53jLOhI+ETmce8hRVBMqDxWEykO51Ic82NL4foS8NBhEMT6Y84cj/HOLUBDsi/mDjGGUz3TK
TzC/yz58qx5f/iArhpwgLJ7qiviSfFgtOonPR1zBz2OvYHR3DXTZkFDZbl4MtvbVwED1ZEmnXux2
F32bXsbpRIWpt+IU6I3Zh3d+8UF2RTofjiv1sdA0C2JRAfyO3cQPsz3wKIXIRZCOBzsuos/8YMk5
lcZFYv+YA2i3OEJhl+J8uG85Ad4AB4U9tXJgNEMH406lyW1MWeRgjU8/uAZd7+eI8A6F3l8X0G/k
Xdx5Vr9ThZSGgcpDBaHyUC6vIo/nz2qWhoxCQyN0+ukhIplHL5PRQNJlb9xiNv8sSYPO3HP4qp0O
llkyHTlJE8Rg20Y/FJakIySIjCokbfBhM0sfm/1kW9Hkw0PLFmozdPG1VB6iKBcMb2sMc+mTL9kU
2Vnhk9Y3cTNNmlacgL1LnRBMOv1Snwf4qr0hDFJYdYQpOH0sULILNvM+S/ciOinIg//QBRs3GOOz
QfLyEMd4YnafI3j/GwcESz5vOaJAewzuYAYb2fmVZMF0xiG0m+aPNIWRC0X1oPJQQag8lMvLyIOR
xoZ1pS+Uhgxxoj9mf6yBj4bZ4LJPLkSF/PIdn4k8dDc6w+uWFXp9dAV6ocwvf6k82G3wQzCf/EoP
kE0xkY77X/0keKvVTh4QJkJ9wD4MP5QiKVtkfw/LJSMDAXw2ncA7P3kgVaGOsLDyDvfsEwryIKMe
7c0+CPOwRR85eQjwZK8FNOz98Gc7XfzjUrmNfBV5EIosTdG2qQGu51SmUVQTKg8VhMpDudRFHorS
uH+3ZmmwKY4Ogfqk42jG08YItSA8ZTpciTxcSOfLR8D+C2jX/y4epkVXkUeRjRl+VHtavubAdNyb
fBAjFOBRbeVBRjvJp6+geU9beAlyYbbaGkaSp37yYTXnIJr8riArBeTlwYx67uJipAClXgryyI/E
thVe5LMVwH6FDj6ZF1TxOGAueQhdb6MH7yJO0KkrlYfKQwWh8lAutZEHWxojhtdNGgyi+FQkSKZw
+IizuouhrbQw7XouSx4kryQdBtOOoMvUu1imxu7M+bBbeAmbfJkpKwEiDlzGl2NMMH+uKX7tsxfv
9zeE1n0ymuGSR0lR5bpDTjAWtDuImXquWK4WLm1fAP//ToI3xJnISFqOA7Y8RKHOGPPFFcwlx583
4QSatNDDdM3yGxizjKwxdY0L9E564NROA3RvcwOXksrFwCWPrMs30KKjJe7V8tkrFOVB5aGCUHko
l5rk8arSkFF02wkHHGVTOEQGS3UwWicd4pJUHFdzRris486KwqbPNcD77VGlPAojsHSULfylZQqT
khHkn4hA/6cwWXgM/VaFIiZdwCEPAWJNn8C9omNmpqh0wXv3DHZ6y9ZOSKce7IIRrU5ik0vls0NQ
kg1/tyTwpe/lRh75mYgIYI6fCD8jc3Traw3byBxAmIozG5zgK3uCJ5HhmXEHMVxLOjJ6Yo+v2fLI
jcWuwdoYtj+RXnXVCKDyUEGoPJQLlzzqSxoyiqytMXiEBbSvheCuoRMWzbgP+/QCJLo+xOT+13HY
Ja3iaqvSYHdMWuRbIY9ie0uMlU1ZycGatuKn4eGhG+jA08Wsfe44dcIdh7cY4scVQRUCYBBHPcS4
Ma6IlhtlCPDU7DZG9j2LaesccVjbGZoHvOEsvWpLnJaIG3OPgjf0AZxjClj1mCcYSqetinLwSNcQ
A8c7wfOZVEy5yTCYqwNep+vQto2Bw/4raNNED/M1XKGrbY+1MwyxXDcG6XSxvFFA5aGCUHkoF7Y8
GGn8s75SGna2ryYNGeLcXBQwj02OS0JgcAb4L+gwi/ishebkFMRk1NeaAB/ZGQrPQpchzEVicCLC
4nLpSIBSBSoPFYTKQ7kw8ujQoaxCGsOH1Z80KJQ3BSoPFYTKQ7kYGghJ/Kk0KJSaoPJQQag8lIu4
pBi3LKg0KJSaoPJQQag8KBSKqkPloYJQeVAoFFWHykMFofKgUCiqDpWHCkLlQaFQVB0qDxWEyoNC
oag6VB4qCJUHhUJRdag8VBAqDwqFoupQeaggVB4UCkXVofJQQag8KBSKqkPloYJQeVAoFFWHykMF
ofKgUCiqDpWHCkLlQaFQVB0qDxWEyoNCoag6VB4qCJUHhUJRdag8VBAqDwqFoupQeaggVB4UCkXV
ofJQQag8KBSKqkPloYJQeVAoFFWHykMFofJ40ylEklcoLE2fwMIiDAFJAml6PuIcHuPMcTecPPsE
zs4R8IqV5RVDnPkMttcf415gbnlaXjJun3aFjn4k4otlbcvgI8QzAblyaRRK/UHloYJQebwFCJ5h
z+C9GHYwFSLmff5THJ9yEXNPxiNdWAxhfDh2jdTFQks+KV+E9PsP8Ptv92AdJ5WJMImUN8SJUD6S
jYwxcJIPnpaw2k/3x8xPr+J0bFFlGoVSj1B5qCBUHm8DWTg9ej8mXS4grwXw23EaHaY+RjqrjCjC
E8dNCyAK88CYHjdx41mlCErdbfDpIEfEMcIQROHvj3Xx72PZKKUI8aeM0fdjTQzZ8wyl0joUSn1C
5aGCUHm8DbDkURyHLX00MOpElkKZQhQLCnBvoTba/XIPe9ffgppOBJ4zIxM7c7TtcAuuAlKOjEJ2
99XAFCNGROR9cQL2L3aA01UjfNT7DtwK2W1SKPUDlYcKQuWhXO5YC9GuXRnOny1BSRF3mVeHJQ9+
EOZ9uBdTrkk7fzbF8djScx9+OPQMuRkx2Np/P4ZoJgF5kVDrdQBfr/HCjbM2GMLTxl8OhZI6hfZ2
WHkmDaL8CKzsfgQLzPOqtkuhvCJUHioIlYdysblVgtaty9D54zL06N5QEmGPPJ5ix+ca+FYzpXz9
g01xDNZ/ehSrnBgxFCFF5wJ4v/iW5+Wm4qFVCB4aW6JzWwvY85k6OTBZdRPrtD2gd9IDu3/XQdvf
fJDCXg+hUOoBKg8VhMpDudjalODjTmUQFBRD52hpA0kkC3qMPC4xow0Bwg5eQOvPbeGWW1lGnPIU
nsEZMJl6CGPPlE9pZZ+5gr7rYyvKoDgJR0bqYvqNDIjJe1GUJ9T2xUMozRfHe+KXNhegHVp51RaF
Uh9QeaggVB7KRSYP2Xu2RHr2KMOFc68qkUIkeXhiZhcN9J7/CL7PSMcuTIPlOn30G2yIDRouOKzp
hIPnIpFMRgyiUG/8OcoMJ4y9sXmOLSwTi4CSAqQGhODEBgtsufFcIgtxWjxOTtXDhH2xknUR5lgF
oY8x/1MNfDzRBa7xVCCU+oPKQwWh8lAuivKQUf8SqYooKx1hAUlIyFDo6PMzERGYimypFMAn74My
kC97T6G8Zqg8VBAqD+VSnTxkvA6JUCiqDpWHCkLloVxeJA8ZVCKUtxkqDxWEykO51FYeMhiJHDtS
KqlDJUJ5W6gneQhQGGCNUGMDghmexTNbKhSjLP0xok0MEWrugvRQR0SamSDCMw5lCvVLoxwRbmaG
aJ8IZHrcQhhTx7iSMMdglCjUkZAXgURrY1LGCKGmpoi090BunixfgHxPc4QYGchjbI6kiGAk3DJB
mJUb8hUvYSwMQ4KFCcLveCIzzBmRpqRtEyOEWdogMTKt8txLniPF5BR8DZzrfd6ZyqM+yUeioxEc
YsvvgagNdZWHDLZEevUsg/55JUik5Bl8bhyGts5N+KXTBXJKw1F/I4/iUPiMaQqNX85BUJGei7iV
I2BpmkA63QxELv4EGp0XIiKLVa8kHv6TWkNj0A6kMpu7CR7ift9WuHk2GDmxkcgJc4D7v8eQVk0H
XerwN3Ra/wJvbz88PTMTJ7uMx6MQpqPIRsi2v/HEOxRZhrNxuMtChEWEIvn6KjgYZaP41iIc5XWG
lVUaqz0iHP2J0HrnKzj5MwLMReyKLjg4+RRSfE1gN7QL9Hc7QyjKw7NdP8NMPwpFzhthsNQU/Hq8
jp7Ko77gI8F+D8a2aouldvkc+dy8rDxkKE8iWXDZ8RumrtyI1eO6oGn3VXBkXfpLebs57xTyQrjq
VUe9TluV2i3F0da/IyhNmlb8CC5LNaQdPx/Pd8+B/uCPcO1ERMUveHGAFqxHDoDmGN3yDlgYBrfv
PoSJsXTnUELJ88SK69YVEQfuxNkOcxHB3CAlfAynATycPxAGMRFBQeJTyXFED5bh6KerkcBs5VCS
gPxkAcS+O2Ex7GscGquDHFnHX+wH92Xf40yL0Xj0nPnVxkfKjv44NM9Csj+Q8OYU7H9/OkITLuJm
h0kIZCQocMLdPkPgGlr7X7YvgsqjPnmOkyM7vlZ5yOCSSGmV3W/rEWE4PN2iym80FLjin57dsd6t
9p+b8mbDJQtFuOpVR/2ueQj9SOfdBPqHQiU3LJXab4T1qSipKIg8NDbD+8IMHP1iI54xHbkoEzH/
rYe/zm84UI08Sj0vItC3fBqMC7Y8SoMP4WrHQXDylP8PIycPWT1fddgfPwuzzp/jgXt5eeG9rbDT
PwrDVlzyECBHZyT29VqH+DtEkj3WIFEio2h4fNcUhteyK9p+Vag86pMUnFKSPGS8dokwkB9uO4ZN
xCXJ95gjn/LWwSULRbjqVUf9yoOZ9jk9Gvt7ridySEHo6pUITpflMfL4FwHPXXD/804wM3oOceJ5
3NtlD8HVyQryaIazyw7BW/cQHGdMhpO0c+dCIo9W/4PNxkk43mksvJ5kVilTnTwcLiUicVM/HJlp
AIEwDv4bduBp+iUYycnjSxwYsQ6PdP6F5bQl8HFPQanpn9D6Ylv5NFtJPLxG8HD1dEpF268KlUd9
onx5yCjML8bRw69HInznrZi32w3FHHmvmwgrCxz9pCNOfd6T0sC4aOzk/BswcMlCEa561VHP8iDk
mMOiXRuY6mnDWu0Oa6FbKo/MQmQfHwXt7/cjXHMNvEILUVJFHpUjj7KMYGQwd+BWtCNPxcgj1x8e
I9vh9L/OVRbXq5dHKsRRx3C13Qi4me/DXZ0giPMV5VE5bVXR3sM10O21tnzkIQyCy9dtYGZWOc32
qlB51CevWR588j2IjwYeeQF3rIGrl4Bt/wJ9egPNm0vKKErk4oX6lYg4xRZa/11CZEOPbmpJ4LXL
uDSyF9LD1lIakGTfFTg9oCucd//H+XfgkoUiXPWqo/7lQTrcpE29ofHuIDh6s6eb+Ejesxn+GeR1
pgksOrWG7tIbKCR5JVcm4cDo4yioZs1DHGKAYHfunUHFT4g82pdPW4kjTuB6h3645yjf6YruM/JY
JS8Pn92wv8iMFlIRNq8TtHouQTCzVpN/EYYtR8EnuXLkcWieufwzEfh3YP3ZeDxmyvPNYfnZZDxJ
YeW/IlQe9UkDyOPIIWDrFmDJYmDyb8CwoUCvXkDr1kCzZkDXruX/8njyfDtErp2XkYinmwtO6h7D
zRtXwc9T3MKdkOmKcxrn4ccslJckI/BxZNUyrxlGHhbzvgWgUUu2I+vhXISazUSIaSXRgWrI8piH
MPOZCDWfhQi7v5CVqy5XtzRxGULOT4SP3mQE3l6GWK+1ELPygV1I81mJIrk0LnYgx7PyWOF3FiM5
YYc0Tx35PnMQYjJDHtM5SIpeh4TbsxFmsxz5YoU2izcg4dZshN/7G5kRyxBpMUvSdpj1AiTG/Icy
WTnxVqSYT4av4TLki1j1awE//d9qBcIlC0UU69REA8iDjBaiDuPamIPIYl0hJYyyg+uEb2Gp74mC
4nwk7ZyGB655KHvug4BFRDbd/oSvVwQynQ/BsAMPp+Zqwpv8J/HW3gTjH1cikvxHYx9DQl444veO
hhZvAO6a+aOwRIDcy5NxuP0PuH/poaRMWUYgItS+JjL7Dg62IeXD+NwwxO0bjwsLziE5iQ+R13aY
bHFCaUkiUi4vxMl3usH0tDsyQ+3gMro1NAauQXhgcuVluiJynJtLYbTmJPx3zcWtM08kazxy5/YK
3LkdIpGHh1sMZz6ltgiQ6X8Z87vwMGzHfcRzfYc4qFEepUXlItDcB1w4C1iaA+6uQGQokJ1eWY58
dzHoK8CDfA+Lq1+zY6iNRPJzMjB2zGj0JSOYNatWYvKkiWj/0Ud4cO9OZbkcR+z+5n3wmrfGB0Rk
rZt8iD+uPJdrRxnUXR4E/kLYdG0B0+vrkPP0H6TZjYXV7kUk/Itwu2tzGJ5bheeWI6DftRucPbaT
OruReXUQrkwbh/jkXeT9DmSZ/w/nf52AXHYnnvUnTLt/hkdP5aXDSdFi3Pm0GW6cWIFU18kw6/cB
DM4wMtqBkO3/wxPfDcgy/gqHuw5GWPQGJBuQPsZkB4pvD8ZRXmtY2ZAOvKI9IpxL/aD17sdwCtxN
3u9E7MoPcPD3yUjxnw27YR9Af88yCIncnql/BrNLaih6+D0M/poNvqKEXkB1AuGShSLs8i+iQeQB
UR4EGfU3jaOqlCYFIzOpfj/n8r8sJeKQYXjjMWc5SsNRK3lw5bFh5LFmNXdeNcgk0qljVYn8OX0q
/l7+F8TkB5KsvLurEzq0b4/4mIiKNFXkpeRRugqO/VvBypYRAZOmDn7qVpQx6QNbwsJmJ0nbjuBp
TaA5cyaEYeNw8ZN+CEhhS2EXkq3nIrvi17s6ck4PwMnOTXBu72qFEQkHojVwHiw7ljrS1DtB438/
k/bUUZC8WTJSEDkOwdHuQ5EgJOXFW5Cfqg6x/yhYDO+MQz9PRI6s4y9dDffl3XHm/V54lMac426k
7OqEQwvmopTkC42+wP6W/RGaNBU3O36OwFxSR7gMd/t2gWtELUSnAJdAuGShCPvv9iIaSB71TRoS
z++E087tcjjr3kEeZ/nGybUrvnLikJGX/eaLWJVQljxkMBI5cqhSIoe1Y9GeSEJQkFOl7Ca1DdjO
rKkopKsSrywP8WYEXZqDElm6TB5iNXj9+C501ZYgcVt77B07CXzFdtiQuq5LRyPu+pfQ7jMMCcUc
Zdiw5VG8Fp5jWuGs+nKIWGXk5CFNE/uPgf2JKTD7pAMeeJXLT/jgR9hd+hWGrbnkQaSm2x37PhuO
+LukvZ7DkCiR0UZ4DH2P/ICUTZfVDUWBcMlCEcW/XU1UK48/pxuRoa+WiqCJlu9tRzOeAu/sQSvO
8o2Tpk33VhEHQ4sWmpzl31ZatdJEs6YryOvy6Zn65v0W09GsWTWXXr8GechgJPLv5lK8995FDPpq
EGeZvXt2k9PhcX6O2tLy/b7k86qT19zxflVattiLP75cwNnBVYtEHk1xYc0EeGsPxbVlUyGUpQ9o
hotqk+C1fRCM/5qIZ9k7ETq7KfZNmV4uGIbCfxC7uxeOdukNZ/fyUUKJ00jcPqcGceFC3O7RGhZW
zHSXtDwXjDy+boYLf4+AYY9WuHZ8dfk5sKhOHg5XtiBxSwccmT0DAtEm+KuNwtOsaTCSk0dHHPh+
OB7p/gDL6d/Ax2sbSi0GQOvLH5FaStoi0vT6noerZ7dVtF1XClK3QIN8P/wvnq8iCi64vmPVUa08
osKfw9khkvIa2bTRVk4aMm7fCuYs/7biRDAzuUde328QDh54jA7tSzn/X7wueYSHCDF3tgjvvVuG
UT+F46OP2kMoqLrov23rFsyZNZPzc9SW+3fvwcrCh7zmjvercvG/M7gyfQRn51Yt7JEH+WWeHbGh
fJqJPfKoKE864p0dodH/J6Qwna40XeQ6FMd7fId4Sce+FSFrvoDt4d/gozcRTlPa4OCkKSioaT2h
YuSxHWlHukN74EgkFsqXqV4e2yCO/RVXP/oUblY/467uOiItRXlUTltVtOcxDLqfSUceonVwGdwC
Zpbsz1p7ysr2wGb5d7j8w1AI87I4ZaGI4verJhrJtNXbw7SpN+XEcUbPk7McpeFQ5rQVWxp/TBHB
31coSZ/463hs3viPXNkAPx/JmkdkWLBcuqrxstNWDl+2ZK15VKY7DiAdurV8hyqOnYTrbT/ErTtb
K9JED4dCt+dQPCUdMZN/T3NFxZRTWSJTvhvcalpPEK0mIw/psUTr8eiXljiltgTFrDIiB0Ye38nL
w2807C8zo4VtCFvQClq9vkFwJskrnArDVj3hk1o58ji0YI6cPFC0ENa9++AxU75oDix7f44n6az8
WqIoDubvwCULRRT/djVB5aGC3LIIgpamMx55xXHmUxqWGuXB/EesSR752UBsJLB0SZ3kUZ00ZGSm
JePbId/gf98Owc7t27Bw/jy0bdMGFqbGcuVUkZe5VDfbcTSutOTh7PpZSMuUdfAk3WkMrn7Aw5n1
s1npDOoQuI+H6ajeuH30T0Tdmw1ftd44P3My0tNWwHtaJ9zQ/Kvi0ldhxHRYdOfhyG/j8DSRufpJ
1o6MHch2Jsf6sPJYZQlTYdSxJS5v+QPPnpP32WsRsakzNN7rCge79eVSKdiAOM0+uLBoCpJTdkP0
6CeYbF2GUvEWpFwdjJPvfgjTsyuQGb4YLmOaQeOroeRvv7XyMl3yOXKNhsBo3ST4qw/CrXOKlxq/
GC5xMHDJQhH23+1FUHlQKArIySMzFTh/BvhlXLk0ZGzeCCxaCEycANKjAz16AO+/D7RoAXTvDgwc
AJw8XqVtRdjSmPJ7VWmwKSOjnru3rbF/7x6cPqUrEQpXOVXjpUYeL81uFCWtRWrAGmRnKoxaGhGl
KeuQmVL36arqxMHAJQtF2OVfBJUHhaKAnDzYwmBu/JsxHWCmjw4eAC6eB6ytAE83IDq8fFSi0FZ1
1EUajZ3XK4+68h8S9UfBafdPcjifXIg8zvKqS03iaAioPCgUBWqctnpFIkKFmDfn7ZCGDEYezBU/
J3p3VkE64FALnuT85GjaCsc+4yqvuhz9pN1rEwcDlQeFokBDyENRGo8fvfnSkFGck4HM8BDKa6CU
2VuN42/QEFB5UCgK1Kc8apKGMC4aBme8cNEwDO4P4hCZmQo3yycws4pHiuwZM6I8RDsHw9w4ELaP
s1ASEwXDK764erkcY1fWligEvt9jXHXJgZifBh+bIFiYBMLKJhZxFU/YLEJmQBhpLwBmxkHwii+/
Y12c/gx2JuTY5rFIlG4rxI+OhIGeO3R1vWHmEA0H97TyZ4UQhPFRML9f+b6CvATcvByNrIrzL6c4
MA4B7IfA5aXC0zqQnMcTmJNzvGUdCZ+IHPk95CgqDZUHhaJAfciDLY3fJ1cdaYhifDDnD0f45xah
INgX8wcZwyif6ZSfYH6XffhWPR5FsvI5QVg81RXxJfmwWnQSn4+4gp/HXsHo7hrosiGhst28GGzt
q4GB6smSTr3Y7S76Nr2M04lFlWUYilOgN2Yf3vnFB9kV6Xw4rtTHQtMsiEUF8Dt2Ez/M9sCjFCIX
QToe7LiIPvODJedUGheJ/WMOoN3iCIWHtOXDfcsJ8AY4IELuyZ85MJqhg3Gn0uT2gCtysManH1yD
rvdzRHiHQu+vC+g38i7uPFM4X4pKQuVBoSjwKvJ4kTRkFBoaodNPD6Xbphch6bI3bhF5oCQNOnPP
4at2OlhmyXTkJE0Qg20b/VBYko6QIDKqkLTBh80sfWz2k+11lQ8PLVuozdDF11J5iKJcMLytMcyZ
p2xKylRSZGeFT1rfxM2Kp34mYO9SJwSTTr/U5wG+am8IA/ZO0cIUnD4WKNkFm3mfpXsRnRTkwX/o
go0bjPHZIHl5iGM8MbvPEbz/jQOCWRs+igLtMbiDGWxk51eSBdMZh9Bumj/SFEYuFNWDyoNCUeBl
5MFIY/7cF0tDhjjRH7M/1sBHw2xw2ScXokJ++Y7PRB66G53hdcsKvT66Ar1Q5pe/VB7sNvghmE9+
pQfIpphIx/2vfhK81WonDwgToT5gH4YfSpGULbK/h+WSkYEAPptO4J2fPJCqUEdYWPmo5ewTCvIg
ox7tzT4I87BFHzl5CPBkrwU07P3wZztd/ONSucNwFXkQiixN0bapAa7nVKZRVBMqDwpFgbrIIzKs
btJgUxwdAvVJx9GMp40RakF4ynS4Enm4kM6Xj4D9F9Cu/108TIuuIo8iGzP8qPa0fM2B6bg3+SBG
KMCj2sqDjHaST19B85628BLkwmy1NYwkT/3kw2rOQTT5XUFWCsjLgxn13MXFSAFKvRTkkR+JbSu8
yGcrgP0KHXwyLwj50ja45CF0vY0evIs4QaeuVB4qDwpFgdrI41WkwSCKT0WCZAqHjziruxjaSgvT
ruey5EHyStJhMO0Iuky9i2Vq7M6cD7uFl7DJl5myEiDiwGV8OcaEnI8pfu2zF+/3N4TWfTKa4ZJH
SVHlukNOMBa0O4iZeq5YrhYubV8A//9OgjfEmchIWo4DtjxEoc4Y88UVzCXHnzfhBJq00MN0zfJt
4rOMrDF1jQv0Tnrg1E4DdG9zA5eSysXAJY+syzfQoqMl7hXKH4+ielB5UN4cBNFwMblb/gteLi8L
ATf04ZYuWx+omZrk8arSkFF02wkHHGVTOEQGS3UwWicd4pJUHFdzRrjsM2RFYdPnGuD99qhSHoUR
WDrKFv7SMoVJyQjyT0Sg/1OYLDyGfqtCEUM+a1V5CBBr+gTuFR0zM0WlC967Z7DTuzI2omAXjGh1
EptcWBsxlmTD3y0JfOl7uZFHfiYiApjjJ8LPyBzd+lrDNjIHEKbizAYn+Mqe4ElkeGbcQQzXko6M
ntjja7Y8cmOxa7A2hu1PpFddNQKoPChvBsVRcNo7Gq3bLoI963HDDHzXTejH6w+N0Mo5+5rgkgdb
GpMnieDn83LSkFFkbY3BIyygfS0Edw2dsGjGfdinFyDR9SEm97+Owy5pFVdblQa7Y9Ii3wp5FNtb
YqxsykoO1rQVPw0PD91AB54uZu1zx6kT7ji8xRA/rgiqEACDOOohxo1xRbSccAV4anYbI/uexbR1
jjis7QzNA95wll61JU5LxI25R8Eb+gDOMQWseuRcZdNWRTl4pGuIgeOd4PlMKqbcZBjM1QGv03Vo
28bAYf8VtGmih/kartDVtsfaGYZYrhuDdLpY3iig8qC8OaQfww8dFeSR5wztf9ZhVq+vXkoejDQW
zKs/acgQ5+aigHlsclwSAoMzwH9Bh1nEZy00J6cgJqO+1gT4yM6o5jG5wlwkBiciLC6XjgQoVaDy
oLw5ZOgoyCMLHlobcSn4ITb1rps82rcvaxBpUChvClQelDcHBXnwH2piy4VQ8tK9TvIwNhSCx6PS
oFBqgsqD8ubAlocwCAdHf46xs+dg/pzx6PteCwyYtg8O7C0yauDxI+50CoVSDpUH5c1BbuSRjZRQ
PwT6+yLQxwCLu/bBGptQZNVw+WntESAzwBrmxgYwMzaDV3z5moE4/THsTAxhZu5SsT8U8sLhfP0E
Tugcw3nj+3h437XyarCa8igUFYfKg/JmUJKMwGtz0JX3HXY5lN9jUEEdp61qRXEo9MY0xTu/nGPt
D5ULx5UjsNA0QXIvRaHPEfwxchb0vJ5BJMpH4r3/8EPvebDm15xX5VgUigpC5UGhvCRFdkvxSevf
WftDPcLepRqS/aFQ7IldA9tj2vVnrDqFiD51BBbZNeQx+1tVpFEoqguVB4Xysgj9oD6gCYYfCpXc
c1FkvxHLT0VJRh2lnmroy/sRp5IV6gjyUFhDHnujQQpFlaHyoCidR17x4PE00LTJvlrRpMlevPfe
3+R1kwZjwvhfOM9VHgGST49G857r4SVIgdnqldL9oYhIzGejzXuTcINjJFFTHoXSWKDyoCgdb484
iTy83GNrhadbDO7ediavXRuM5MQ4znOtQo45FrRrg5l62liudqfiLvBS3634gvcNtCKrrrPUlEeh
NBaoPChKh5FHk/f2cuapPnz4bOoN3ruDsNObdae28AkODW+FL9QcUVBRVoB0XxeEZdeQV/HEv5dD
FPMAhlf0cfUyw0UYu0ZzlqNQXhUqD4rSadzyYPaHOoxxYw4q7A9FOvJYE2z4vg++mboG+7S1oLVv
Py44xEnWRGrKY7dRN7JgtagvPh8xGj+PHYPR3XnossGHoxyF8upQeVCUTmOXB/Oc8eyMXI50hkLk
xj9BUHAUsllP0Xtx3ktQEo2QoOdSAeXCZtZwbPajU2OUhoHKg6J0Gr88VBC+FeaP/KfiSYMUSn1D
5UFROlQe9U+RzVz8qObNsW276vPk6iXY/bOeM4+iOlB5UJQOlUd9kwe7hT9ik2/jnLJy3bsHNyaM
58yjqA5UHhSl06jkwY+Gh7EeTp04jnPXLOF+3xXhmWFwszSCmZU7Uiqey5GBaGdzmBubwPZxOGLd
rGDB7HtlYgQLCys4eYYgqz7WObgotMXSURsqnjTY2OCUB4l7Iom7D4m7L4l7Aol7Bol7Aol7KIl7
ASvuWSTuoSTuUSTu2STuYSTuoSTuYSTucSTugoaK+1sGlQdF6TQWeZSG6WPBT1Og7RgnuROcH2GE
1UPG43i8AMJ4Q8zv0gTfqrtXPAEQOaZYPFUb8UzHxr+P1d0+wAQdD0SGeuDuyWUY3vd7bLGOf8Ur
rKpSbL8EYxvplBWDojzEJO4WJO5uJO7MZxKSuN8hcfcicReRuFuQuJ8nca94YBWJuxWJe4407ndI
3G+QuGeSuEeRuOuTuN8ncS+Tlae8FFQeFKXTKOQhuW+jM36//FSusxf6mON2VCFQEgWdud/gq3bd
scwysbyMwAnbNl4uv3FQ6I89X3fAAivZVVkCpBn/iY5t/4Dh89o9W722iJJDEJNRv22+TuTkQeLu
TuJuSOLO7uxFJO6R0rh7krifIXG/ReIuKUPibk/iXiKp7w9nEncLVtz5JO6HSdyD6jnubxtUHhSl
0xjkUeq1Gf3eHY2zKdz5TCemu3E/vG4tQ6+PxkAvlP8CeRD45pjfpimmXM2Qb+sthy0PMYn7SRJ3
3xri7kXi/ozEXZfE3Uca9+rlQSBxtyBxN6RxfyWoPChKpzHIo8hkBlo1mQSDiv2oshFntx0/f/IJ
xqs74XkxI48DiBDmIWD/ULTrr4aHaY41y4N0chu68/DD8ZqnrgL8fNCsaVO8w+M1CDzep3jnnT3k
tUaDwGw9M5w3ERrkWLVFt2dPyWcvJXE/QOIeyIp7Non7NRL36yTu+STuXiTuGSTuKSTu2iTuT0nc
a5QHiftdEvdLJO506urlofKgKJ1GMfLw24b+vC+w6zFrCxKBPdb16I41jvnSkQcjD5JeEg2DaZ3R
ZaoalqnVII90fUxu3hF/3cmrTONAJCzE40deeOTl3iB4uj2Eo30geR3fIHg9jEK4oyuSyLFqw+2/
l+Paz2Mln11M4q5H4u6oEHdbEvc70riXy4Okk7gHkrgfJXG/ReJerTxI3G+SuFu/IO6UmqHyoCid
xrHmEYazv7RBtyVWyJKlCRywvmcPrHNiOrEIHFfbj3DZFU5ZD7Dpc/Kr/rcLUnk8xp5BbHlkwmvH
12g3dC8C6dU/csiveYTBl8T9GIm7QFaGxP0uibutNO5eJO4SeTB5JO52JO4aJO7l8ngMZxL3Snlk
4hmJuzaJeyqN+ytB5UFROo1CHgRxuhOOzvgRE/7WhpGNNSzPrMP4b6fjXEg2El0PYXL/CTjsElVx
tVVp8DFMWnSRyCMLcQ578fOHTTBgrjqO6mhBffWfmL7sGNxT6KKtIopXW5WRuHuQuN8gcQ8mcQ8j
cb9O4u5L4p5L4n6TxN2dxF12tZWYxP0miXsJiXs2ifs1EvfTJO4eJO5OJO7GJO4JNO6vDJUHRek0
FnnIKM2KRbi/D0Kik1HMkc+miM+aLqHUCkV5yBCTuGeQuKeRuFdcllsNpTTuDQ6VB0XpNDZ5UBqW
6uRBUS2oPChKh8qDwsb7+DEYT53CmUdRHag8KEqHyoPCRiTIR0lBDmceRXWg8qAoHSoPCqXxQeVB
UTpUHhRK44PKg6J0qDwolMYHlQdF6VB5UCiNDyoPitKh8qBQGh9UHhSlQ+VBoTQ+qDwoSofKg0Jp
fFB5UJQOlQeF0vig8qAoHSoPCqXxQeVBUTpUHhRK44PKg6J0qDwobPwvnoft6lWceRTVgcqDonSo
PChs6K66jQMqD4rSofKgsGHLQxjtjEhTI4SaGCHM0gaJkWkVzx0vS/dE8LF98LjhjsIS8j7ND9Em
hggxNkS4e3T5c+FLniPNltQ3NkVifGGVOuzjUuoGlQdF6VB5UNjIjzxyEbuiCw5OPoUUXxPYDe0C
/d3OEBb7IeC/7fA+pwmT7q1w7XQskQofWSdHYx9vHPwzKtsrffA3Ls03RoGAq05lOUrdoPKgKB0q
DwobeXnwkbKjPw7Ns5A8PVB4cwr2vz8dobkZKOIz+YXI2DMUlw+Fl4uAbwvrzq1hdCO5sv6eJXB9
UggUV1OH8lJQeVCUDiOPd3gaEAoKKW8opYJ8yXM6aoPz7l3VyEOAHJ2R2NdrHRIF0u9PrifclvyL
uBzZ96kQqbv6Y9+wg8gSkvd8OzxYpotc9hRVlTqUl4HKg6J0GHnwiDwoby5DeROhwePVmuM9e0i/
H4w8vsSBEevwSOdfWE5bAh/3lPK84jCE75gCvZY86K69B6H0+1SWeBJXm/WEnXs+BMarcOfmc2lb
1deh1B0qD4rSERQUICggkfKG8sQvHnFej5Aa4FcrbNeswrVxP0u/H/LTVorfHYZSTzWc6rmmcjQi
ykDEvHY4OOMEPJZtQEy+fHnuOpS6QuVBoVBUiqprHl8SeZhXKw+kn4HJ5BPIZ01NiYkcTvDexdnt
HuVXXSnCUYdSN6g8KBSKSiF3qW6UHVxGt4bGwDUID0yuWOAWR+rj9rQlcNDcC8c1mxAQmCfXBkrC
4PnzaHhEFFakvbAOpU5QeVAoFJVCfuRRHXkoCPVBSkg8Sjjzi1GakaIwWnlxHUrtofKgUCgqRe3k
QVE2VB4UCkWl8Dl5HMbT/uDMo6gOVB4UCkWlEBfzISoq4MyjqA6vRR4FEdGwMX4CUxZmdxKRWSJA
sP5daN/P4azHUPrEB1u0IpDFkVd7ipDmFQR9zbvYdi6OHJerDAe5qfCwDoQ5OV9z02DYuaXI32wk
SIeLSQSeMjcjseux4IeF4+opLxi6ZULEkQ9RPuIehsDChBzDJBDWtrGIySqS5hUh3fMxdLTcYOqT
w33VCIVCoSiB1zTyyIf9X0fRboYfImPTEBcYBs1FD+BQSMQSGY/gZAFHHSm5KfB9klVNx1s7xLGe
mDbXF1kZUdCc/wD2HNd9V0fR/Vvo+qEBzj1+Cku18+g6zB4euSSvOA1Oe6+gdVsL2Fdzrbj4mS+m
D70Np5xs3FxkjkvJMikowI/Ayi4H8fuZBPib2WJYlwvY8zAffMcH+P0Pa/y78iq6NtHB2gf53PUp
FArlNfOa5CHAk+2n0GlhKIqlaaKUrPIRQEk+CvKq6VQlFIGfmy/91c1HQS4RjSAXOXx2nSIUZuaC
X80IoMjYGL3mBaOoIq0IRRk5yC9mleOTzrpYQNrnV6YRSh8/wMD2ZrBh9sTJ9ce05pqYaSwtk+6O
HzpWL49SbzsM6GoJm4hgrJl4Gw8Y6XCUQ3ECdg04hAVWTLv5MJq6Hy1nBCDcLRYJks9UCK/1x9Fj
bSy9I5ZCoagESpBHEVJu+OAW+fUvTojEtm/3YeTxLOQE+OKvAVoYuDEa8TctMHaZP8JzMuD87zk0
+94dqSnxODJeGz0n3cHf087hkw7XcCGOCCQnGnunmWDnCSfMGnwC49d4wTmhUiyi2AicX3waH/7v
Fo5fj0FqThyO/W0D7ZPOWDJSH+ss0pHi7ozRzQ5gyAwDDB9xD45kRCSrz5aHONYNPzbRhdpD6bXj
GR41ygN5QVjYcR+6/WqPB7HZcNt6Hp9NIK+j5QUlJ4+SNOj+sA+fbYhhiYLE778LmKyfRaeuKBSK
ClCM/wNQ479GHNfmrgAAAABJRU5ErkJggg==
"
id="image28"
x="0"
y="0" />
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:xlink="http://www.w3.org/1999/xlink"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
version="1.1"
id="svg242"
width="467"
height="197"
viewBox="0 0 467 197"
sodipodi:docname="ttl-inp.svg"
inkscape:version="0.92.1 r15371">
<metadata
id="metadata248">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<defs
id="defs246" />
<sodipodi:namedview
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1"
objecttolerance="10"
gridtolerance="10"
guidetolerance="10"
inkscape:pageopacity="0"
inkscape:pageshadow="2"
inkscape:window-width="1920"
inkscape:window-height="1138"
id="namedview244"
showgrid="false"
inkscape:zoom="1.2162741"
inkscape:cx="319.65861"
inkscape:cy="61.866595"
inkscape:window-x="-8"
inkscape:window-y="-8"
inkscape:window-maximized="1"
inkscape:current-layer="svg242" />
<image
width="467"
height="197"
preserveAspectRatio="none"
xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAdMAAADFCAYAAAAPBhifAAAAAXNSR0IArs4c6QAAAARnQU1BAACx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"
id="image250"
x="0"
y="0" />
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:xlink="http://www.w3.org/1999/xlink"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
version="1.1"
id="svg252"
width="353"
height="212"
viewBox="0 0 353 212"
sodipodi:docname="ttl-out-en.svg"
inkscape:version="0.92.1 r15371">
<metadata
id="metadata258">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<defs
id="defs256" />
<sodipodi:namedview
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1"
objecttolerance="10"
gridtolerance="10"
guidetolerance="10"
inkscape:pageopacity="0"
inkscape:pageshadow="2"
inkscape:window-width="640"
inkscape:window-height="480"
id="namedview254"
showgrid="false"
inkscape:zoom="0.80453258"
inkscape:cx="124.91725"
inkscape:cy="106"
inkscape:window-x="0"
inkscape:window-y="0"
inkscape:window-maximized="0"
inkscape:current-layer="svg252" />
<image
width="353"
height="212"
preserveAspectRatio="none"
xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAWEAAADUCAYAAABNj5KlAAAAAXNSR0IArs4c6QAAAARnQU1BAACx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"
id="image260"
x="0"
y="0" />
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:xlink="http://www.w3.org/1999/xlink"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
version="1.1"
id="svg262"
width="544"
height="321"
viewBox="0 0 544 321"
sodipodi:docname="ttl-out.svg"
inkscape:version="0.92.1 r15371">
<metadata
id="metadata268">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<defs
id="defs266" />
<sodipodi:namedview
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1"
objecttolerance="10"
gridtolerance="10"
guidetolerance="10"
inkscape:pageopacity="0"
inkscape:pageshadow="2"
inkscape:window-width="640"
inkscape:window-height="480"
id="namedview264"
showgrid="false"
inkscape:zoom="0.52205882"
inkscape:cx="192.50704"
inkscape:cy="160.5"
inkscape:window-x="0"
inkscape:window-y="0"
inkscape:window-maximized="0"
inkscape:current-layer="svg262" />
<image
width="544"
height="321"
preserveAspectRatio="none"
xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAiAAAAFBCAYAAABOyRR+AAAAAXNSR0IArs4c6QAAAARnQU1BAACx
jwv8YQUAAAAJcEhZcwAADsMAAA7DAcdvqGQAAIDRSURBVHhe7d13XBPnHwfwdDlqXdUutdXWDm3V
1vanHWrdVq217jrq3tu6J6IyVGQpKogyVYaCorgXoihOBARBQBQVRAgjhJCwPr+7DEjIEQIkzO8f
7xfJ8zz3ZJDLffLc3XM85IpBCCGEEFKRKIAQQgghpMJRACGEEEJIhaMAQgghhJAKRwGEEEIIIRWO
AgghhBBCKhwFEEIIIYRUOAoghBBCCKlwFEAIIYQQUuEogBBCCCGkwlEAIYQQQkiFowBCCCGEkApH
AYQQQgghFY4CCCGEEEIqHAUQQgghhFQ4CiCEEEIIqXAUQAghhBBS4SiAEEIIIaTCUQAhhBBCSIWj
AEIIIYSQCkcBhBBCCCEVjgIIIYQQQiocBRBCCCGEVDgKIIQQQgipcBRACCGEEFLhKIAQQgghpMJR
ACGEEEJIhaMAQgghhJAKRwGEEEIIIRWOAgghhBBCKhwFEEIIIYRUOAoghBBCCKlwFEAIIYQQUuEo
gBBCCCGkwlEAIYQQQkiFowBCCCGEkApHAYQQQgghFY4CCCGEEEIqHAUQQgghhFQ4CiCEEEIIqXB6
CCB8pB6dDduOY3Ft51r4TDHG0yS2XIh4o97Y2eYL2K84B8FNY7h+1BbH3CKRyyyX/8IXZ/oOxe3g
lCL9EUKqvcwkXF3nhPajAhDyOgtIj4PT+nOw2HcP7o5+mPrnWZxNjIbxwN1oUc8YnddFQ1iwvBDX
1/hgbyyznHKfhJBqTS8jIPmxBrBptRiJkjREjnwL5ivuIy/JFWeXHkNWQbtkhP/5FnZufoR89j7f
FefXnkNOQT0hpCYR7ndCy6mREOemwXeiDUYeTpPXiRCz7yaOBkTA7wUTMhJvo3sdR7gJ5cvygzHr
k034es1z6Y8V5T4JIdWXfgLIs42yACKKwa2uPNiZRyEnwBRuHRvDsPEfuBsmlLbLDZiFrU1m4LlI
BMGepbgWlKnWFyGkZpAFkMcQC+7jrzoOsOMr1YtFhT8+MsMwsfdZPBSz97PwYt85bD16AZ1aHYd/
prwNIaTa01sA2fXeQNyw34Ebjv4QZivqmKBxsB+2/3FQ9mWTHYFr7d7GYfcbuL7MAqkF7QghNU1B
AEkLRC+eE5zTudplIcbhNIwuCWT3Jc9hMuMsTl4Px4rvTDHSM71Ie0JIdaXfERAJR326I3ymuhf8
2sly6Q3D+l/ipNNL9baEkBqjIIBIXmBTBzNMPiUPGYw8fgqSxWLwrwbC8tgr5OUKIGQCStYVP2x0
eY6IsJd46OyOVr1uIoF+qBBSI+jlINQU9+Ew4vXB3dBEeZkALzf0g9MsI9y2sEBEuGwXjFTmBRz/
bgQiBIrlCSE1DnsQ6jwr8H7zw4PXWci8dw3Dujph/rbbcHW6g4O+z/H05DF8wjPCp60t0ar5AWwJ
iMHmPw7B7KEsqGQ9vobuvB2Y4vlKvX9CSLWjlxEQTplxSIl6yXkQWXYanflCSK2TLUBCZAKe8UXc
9YSQGk3vASQ5PBSvQx8UePXgHhLu31EpY9twLUsIqTxpTx6rrKc1lTA+jvP1E0L0S68BJPnRQ9i0
b4dd33xdYNv778Psww9VyvZ07ICMl884+yCEVA77rj9i8ztvM+to6xrLkMfDmUXzOV8/IUS/Km4X
jNz55UtxzcSIs44QUnU4/v4LYq9sAXC8xrphMY0JIPM4Xz8hRL8ogBBSU6VfgfHA79CiXgN0Xnel
cGZR/glM7zQaXoqJvopBAYQQok8UQAipoST3zsDvhQhI3IfudXrLZxZNgt+O6fjli8HwKOHMMwog
hBB9ogBCSE2XeRwTe6+QziwquGwOq1PnsbhT+QLI6dMb4Oy8WI2R0Xg4OS1SKXNxWYzERCfOfiob
BRBCKg8FEEJqNBFiHJbA6FIykHoeVtt9kJh+HYs6DsKhVM2nv2oKIP/99xcmTeqlpkeP9hg//neV
ssmTeyM01Jqzn8pGAYSQykMBhJAajH91DyyPRSAvl4/0e45YNmEsJoztj894DdHD5ALnMgq0C4YQ
ok8UQAipofgnp+ETXj182ro1WjXvDuMw+YiHJBBLyrkLhpV2dyE2m8zFYff/YLN5IryijyDz2Sas
6P45Jlv8h8OHF8Ns8UScz+JeviqgAEJI5aEAQgjhpCmA5CUuxfDv+uCMUH4/bgZ6t/8T/llu2Plz
Uyy4fURanvtiH17kqi9fVVAAIaTyVHgA2fXvCrgs0V8A8XR/gPlzTxBSKw3ob8r8naMT/Vp8jBDf
dZwbbqFTJ7zVZyEyFGW51ljdtjFm+rti188NMfnEbkSFrMI+z93IVVquqqEAQkjlqfAAsmimOwxX
+3DW6QL7Jdy1ix12WQcQUuss++8AdlqZM7ctym3yV58j4pwB54Y7bW978AYshlBRlrcXht82wNSL
TADp2gAjDhjD//wcmDnvogBCCOFU4QFk5fIz2Grix1mnC2wA2Wl1nbOOEKI9Tbtgch+Nxw9t/0Rg
jrwsYyVGte0Gb4E7dintgkGuHSJj5LerIAoghFQeCiCEEE6aD0L1RMjuvhi3eiHOX1kLmxWDsO2S
MzKerseUD3notmExDh9aiK1T+sEqwotj+aqBAgghlYcCCCGEk1an4WY6IeaJE7K46qoBCiCEVB4K
IIQQTloFkGqOAgghlYcCCCGEEwUQQog+UQAhhHCiAEII0ScKIIQQThRACCH6RAGEEMKJAgghRJ8o
gBBCOFEAIYToEwUQQggnCiCEEH2iAEJITZV+BcYDv0OLeg3Qed0VCHMFCDkwH6O7f45W/XchOptj
GSUUQGTy4v2xtf83mHo8nbOeVDPpYQif9QNsJ5kj2MUO1ybNQqC/O3w7d4CXiSUuT52M61cSpG1z
ww/Cb7Up7jk7IMh8CdwWuEKi6CfzMi7N2Yk0jetRIl4eMID/XmeEuljCz9gd/EwxRPe2w7VRK3i7
hUn7y3q4H94DpiPEcglOmNkjcHJn7J9tg6BtU3HR4Q4ezfkBe8YZ4db6KThuehGipNu4MaARLCYc
BD+NeRx+MB5M6I8TrjtwccY2BFlOgt0vs3D3gCl8VrmqPa+sQyvUH8felmPZ/Ug7sxQO343FnVvP
kKPoIzsOz036wWayd2FZGVAAIaSGktw7A78XIiBxH7rX6Q03QTziniUD4ltY0L4f3ITcyylQAFEQ
4OI/n2KIZxpHHal+REjf9A3M/7uPPOZ+fkIY+ImP4deuFc4FZyLHZzg2tVyGxJRzON11BILjmXVI
uhwfccd9kSEPHFme07HjzS9xiVlGtX8FEYQHh2L3JB95aBEhzaonbOZfRI7ID968FrgcL2+bHQa/
VZbIeBIJYXYmEv9rBZtNMcjPjgX/cTLSDL+BBft8hcfg8VZLXAhORdwEHszXxxU8Fn/jCtx6+Rip
zPPNC14Mi3YbkZ4tgiAyXN6mkITrcR6EcC+bZon9n87HS7FqH7nnxsDiT3cKIMrKGkCyBSl4eesG
Kaf42zeRJyluhSSVIvM4JvZegYfSL5B0xJ2Yh8GzfZDB1VYJBRAFAa6Mb0MBpMZgA8hXMJvqi6S7
drh7NJ4JADG4Kg0gAqTv7ALDH82QdGgITPrZQSxdJhNZzyORGh0JAZ/5fmPa31tthEcbOsBiJhMo
1B6DxUdQ3zfhtJ8J/fKyvAfLYf3hNDxNvSoLIAnyttnhTACxkgcVpWAgvS8LTGwAyX1mCXteZ9yM
TcPzf9kA8lzetwgpTAC5zY6GsI9TECJk97kVfRwZtWXTrXCAK4BcHAcrCiCqyhpAXgYGwJDHg23n
1qQcLFq9j6NjR1AIqTJEiHFYAqNL8i9BcSwCHJeiK68xZgUIirRVRQFEgQJIzSILIFtHOyD2pClu
uDEbcTaAfPMeDu2wxU2z/XieIELWnu4w7G0rDyAiSO4sxa5mYxGZzI4SbMaJld547vsfdjcehvDU
oo/BSsSdbjy4OPILyvIj18OmySTEpF/DsTeVA0gY/FZbawggX8Nk8Hbct9iGBwHPmXIhXkx8UyWA
8DeupABSkqocQOx+bMN8KRmScsjJWgfXAe0ohFQR/Kt7YHksAnnMrzFhuqJchIgV3TDqmOZjGiiA
KFAAqVlUd8FIywpGQAq/s/IiTGHffChCX8vbvDaHw1dLmA1xGp6arkPwgxAkhd1H8KiWcNrzVGUj
LpOJpE2dYD3fv+BxJB7DYT3KA+LscJxr2gC+wUJZW9FVXFl/CNny5YobASl4vkxZytKmMJ6jKBPg
ucFaPBTI6vUZQPKiQsAXUgDhpPcAIlyE8DmfwHbKQAQf/BvXpvwPgdfHwPfHj+C1dTAuT++M6/6r
pG1zI0fBb21/3HMdjiDL3+C2aBQkBX2tx7N1XXAnzqCwbzWr8dKxN/ztRiL04GD4mY4BX2wI0YM/
4Nq4Ebw9Fkn7y3o0DN5//ISIB3/j4qwBCLL+AXa//g93HfvDZ82oIn2uQuian3DDaSCO/9QKvnZ/
I2BGd9w70B0nzIchcOon2D93CILMfsRF53mIXvkZdk/4Ey9frS/sI285nm9tC5up45Cj0rcMhRAx
nkS9wqaNF+F+6D5nfUXgn5yGT3j18Gnr1mjVvDuMg25g97wFMLXehpXLbBAi4l5OQasAIjbFunlz
EJenKPNGYuBEDGjfByfFSu30IC/FArvHtsLX4+YhUsiUCSxhOuInbDhrz3xGnRC49Qe0n7oOYo5l
FbQKIKnBsOzCQ3uDu9z1pErKSBNgG7OtsbK4hrxsxXEcjPRwPBxeD4Z9duF1sqxM8vgwPOrz4Lz3
AbIU7XKF4LvMwKExTNi44IenLtOxf8AOvLxuANe/TZEkHW1IQ4rFbzD8ZCLCo1LkyykR3sXtKWNw
Yb8vYr0tcX6uMZ4ksM+FCRA2/WHzlwkizh/DfcMlCLwiHynhh+BuXx6MRx5GKvujgXm+YSPrw7Cv
DV7zC/vOf2wN1/Z/4rr3GUTaG+Cc5UX5CEoikmz7wPDd4QiLLhx9UVP0caTlRZdNQZrvFOzgdWW2
B64IcTTFidlW4EuSkGT+Pxi2W49XSUp9lhIFEDntR0AMkL6lOcyXzUMecz//9SLwkxfDr30jnHto
gBzfb7GpVTckpk/G6Z+/RXCiImCsRdzJicjIk/eTNgYnWryBnetk/ag+BssAwsPtsHvKBHloMUDa
zjawWTgVOZLp8OY1xOVEedu8RfBbMxgS4RKkMo+X9/BXWLTvjfQ8AwiiFyn1yVqLtKfLmcQ7D+c+
bY6rLwyQH78IiRFLIGTaJy5rBJstS5Gftwz8mLWQ2HwKi8Uz1Z5j7sUOsBgyhjOAsGpzCHkY8hxv
vbUJPJ6h1D+j3TjbVTwR0qLvITQqQatfLdoEkDSvAWjxVguse6h0yX3JJoz77H/wylJtqw+5kVPQ
9ZMucE/0Rl7cMhjttigIHJKLPfDZkFUar9Sr3QgIqW7Y8NG0qWnBOvjFF1aqIaQ0mI2tIPwBkp4l
KY1AlE72y3CkxKuPoOUlP8Kru0EQyEcuSk38Cin3b+H1c47wUw1QAJErXQBpBrPpE5EU9DfuHlvJ
BICluCoNIOuRbtMShj/9gSS3b2DS/2/my1C2TFY8Ew5il0CQxgYSpo/9PXDtWE/s+fQnPBUXfQzW
WgT1ewNODmsKyvJCu8P6ox/xVMAEkDeYAPJa3lYRQBTtCgKIvJ6LUgApLFcKIPIyye7PuAPIlU6w
0hBAWGwIcen/DVb9Oh67dwVg7+6btUKbNpYFX3wKu6wr5vWvWuHM/N2pE1O++hyR5zcy/0vujTfy
DmD/2ok4trE1Pp1tXDjSINmCfz+vmAACeCHMtC1ajp4PZ5OZCFR6TMmVnvi8hADit30ylvTtzfn6
S2uPjTXz/jsxt7n/N6TiDOjvpLYOjhntztlWV8xHjsUQ3v/wp8KbE7DKhLtthdpsgklvKj0v3s+Y
POYsd9tSuOYXpbYdLS0KIHKlDSBb/xmO2NP9ccNjhSyAtKuDQxZDcdN8GJ6/ZgKHbWsY9hlaEEAk
97thV/OOiExlNvjMxt9/VndE3pyA8x3qwcOrMGQUWo073XlwcV5bUJYf3Qs2TX9AjHAGjr1VJICs
/bPKBRDWiZld8VezIZg59QjmzDpeK3z4wXa1L78Z07w52+pa3z5GmD1zJnO7/Pq1+BjBJ9nPH/fG
O/fhv5i1eh1unhmGDk1+hZdAXlehAYSRa4VVX9TDKG83lXJtAsh5438xpFMHztdfFn16GzN/uf83
pOL88MMetXXw11/2cbYlZXPI9V7B9rOsKIDIlXUXjLSsYASkcGOeF9Uf9h+0Qyhf3oY/CA5f/4aX
OYbI8e+HKwfnIiliARJdv4V57yGFu2YKGCBpy8ewXjij4HEkR7+F9Zh/IGYCx7n368D34QZZW8l0
XDEYjWx5O/0FEAOkRCyUlmsTQE4v/A32XX9AFj9R7f2uycJDX6jsghk71oOzXVWneReMJ/y3/YOD
obsREbETrqObobetI/M5YeoqOoDAHft6N8fcm0q7gRjaBBDaBVMzZQoEeL/p1oJ18Mu25dgFQ/SG
AohcaQ5CfTjibRj2HYLXqbIyScxoeLzLg7PdfObLTtF2A/gHf8KhsT0RfHk6njK39/8xCMmvZ8Bv
YDsEPJKNeuTEDMQBXkMc81osX05J1lzcntYBFxwmItZnMM7P74cnr9nAwASBPW1hM7Q/Ii6Nx/3N
vyHQXzFSshpJ+76AYYNvERZbOHpSVFbEX3DmvQOPI4sLD4xNW4C7/XgwHjUaqULmfuYSRE1vCMNf
eiDYfSQemP0E391sAFmDJMuWMGzfC69SCvtUVlvDh8LTmFfo8N1u/LfYl7O+QqjNhMpHwJYB6PhJ
Pbz3wxoEFBx4xq34AOIN/q1xGDhsMh5lsPc9EWPVHrwWfeD1xAOCR9PQldcKhiEHiyynH1kvNmN2
Kx56Wu5ESsHBsG54ZPkVeO3HIiRFtb2ykgNI6WaPJVUHG0L+HOSKfn2dihyESjOhlncmVIn/Jhzs
8AmMGnyPy/4aDnQtAQUQOe1HQEopdw0EkfOR9GKN2m4MbWW/WoSUxHVq5Xmpi/EqaB4Emarlla22
hw+F0aPc4eEWxFlXEdRmQk25jUuXniIv9yUcu9VB30OaTyul03AZ2aWbPZZULey2ht3mqJarnoZL
M6HKH0frmVAFSDh/Xvo+ZB74DVv6uJT5VFwKIHIR3kexpd7b2P3dR5ViV6v6MG7wjpJ3YfENd9vS
0Fe/xbFq0xR7Orar9eGDVdkBpIDKTKisdJya0AtrFHMQFIMCiIL2s8eSqqX4AEIzoZZ1HhBlOSfG
w2mV8vwkpUMBRC7m3GnpTKj3Dwwl5XDZoCd2fNwMr0OqwIa3klWNAFJkJlRG3mN7LN/M/IJRaaeO
AohcKWaPJVWLpgBCM6GWM4AwoSloqSFi5Y9ZFhRA5PS2C6YWCjk4Ajs+aV7rQ0hVCCBqM6G+vgx7
cy/EMl8uwnTN+24pgCjTbvZYUrUUH0BoJtSyBhDZTKjxeGZnhohoEVPPLzwuppQogMiV5iDU2j4T
qiSgDw52bAij9z7G5QDuA12reghZu+YcGjUywaetzKUHjHK1Ka/KDiBqM6EGHMPMj3mo36o12rRs
jt+3hHAup6BVACk6E6rQFMaDWqNF/XrovMEUwqLtdUjTTKjCgAkY1LEp6r/3OTYEuHMuzyoxgIgC
SzV7LNHeUc8HeP/9rWj2/jac9g3jbFNenAGEZkKVKfNMqMa4N+1jGNZrBcvWLbG9xyYkaQw6xaMA
IlfW03Br30yo65FwaYr0dWQ6foYtfUcWeypuVQ0hljv8C07PY9Wpw2xkOdqVV5U5BqSMtAkgRWdC
lTzYDL8EbyB5IbrX7QQ3PZ+Kyz0T6hE8uGSEBCYUJTu2R92+y4o9FbfkEZDSzR5LtHP75hOVdfCN
NwwR+kCxO0F3uEdASolmQtUbCiBypQsgNBMqK+dUJzitKS5AyVwz6YuOvBH4tp01OnxnUyXUr79F
5cuPxc4TwNW2PBQjLFx1+vLRR6vx3bffMrfL79MG7+K2Fzuax73xLnYmVJZ4PSb2GYmHOUplelH8
TKgs8ale6LNmJxOSVcsVfDeNQ9sPmnO+/tJi3/ePP1rJ3Ob+35BCzZtvU1sHGzcy5WxbHh99ZCZ9
LK46XWv/8XJ8yJurZAW++Ia7bYX6ehNaqjyveWj5Sfm/79auPqe2HS0tCiBypQ0gtX0mVPYxg5b3
QWxGkXIlac+WwOrzD3DcYCtCguKqjIn/HlH54mN/fd2/85SzbXmw00GbbfPjrNOXm9cfMH/v6oTJ
/77XOAJS7Eyo8EaM0zAY+anOTKo3xcyEijxbOC2fAD/pXCXc2BEQ2wljOV9/Wdy8Hsr85f7fkEK7
bW6orIMsh/23OduWx5JFvpg62YuzjpQP/3VqwfazrCiAyJV1F4y0rNbNhLoSz+z/QEQss6xwrdJx
LYUU4SPQcrvae10VDB7kIv3Se/vtzbhy8TFnm/Kq9F0wahORiSG4bIjZS02xfuEWXJHv/y6O5l0w
xc+Eyr8+D5Yn7Jjb7hCyx2aoLatrXDOhuuK6/TSciPVmPqPM81BpX0jrg1D5JzC902h40TwgOrPJ
8KI0/LO2M0Gdq015ce6CoYnIyj0RWW7QPpwZ1Q1WLfvhTqTSJG+lRAFErjQHodbumVBn4vHM92BY
vxEs2zTC9t/7IKlI0Knq4UNhyiQv6a8urjpdqOwAojYRWdoDrP6qC6ySxEi1+QVfL9X83IoPIMXP
hOrk0Aef8Org0zYfotUH38I4ggkAasvrlvpMqK44PbMpePWbo02bZvjg9wmIKJghVZV2ASQJfjum
45cvBsOjrPvqCSf2Io3sdzZXnS5wBpAiZ8HQRGTyx9F6IjIRRLExzGsSImFeO7iUMKGhJhRA5F7c
vI7d37VCVuoq3Upegtd3puNF2BJkctVrQRAxCwmRy9TKM2Nn4+nVaXj9UrW8Mr1+OK9ahA9WTQ8g
BRQTkSVb4oe3huIs8wtMfHYE6na24m4vR6fhyggum8Pq1Hks7kQBRNcqL4DQRGRlPQ1XISf2OE4P
moXHNA9IobIGkGAnB+lEZJveeoOUA/seHhrcn/M9rmpqRwBRmogs2Rhf80bisogJIGf+xtsdzTna
F6IAwkg9D6vtPkhMv45FHQfhUGrZh5uJusoMIDQRWXkCiBAC//0434UH0xn+yC0oLx0KIHLaHwNC
NBEmLodN+xbw27iW832uSmpDAFGZiCzpHMY36wbHdDGEdj/jk6lXOZdRoADCHgPgiGUTxmLC2P74
jNcQPUwucLYjZVMVdsFIy2gisoLykgKIbCIy2e38sGVwGOlF14JR0HsAoYnIkBvyN86M/gxWrdri
TrT6868uIaSmBxC1icjChIjZMxZjDPfBcNQE2EVp/jWvVQApOhGZxAqOi39Djy+aYYDtXulBqWrL
6IimicgkIQuxePS3+KLVD7CNLv44FK0PQpUEYgntgtG5SgkgNBGZTJknItuBCOu5OGNsgRvL/8Pd
oLJfnoACiFxZz4KpfRORGUAUt5R5ThuQsOADuLipX6WXlfFKHkIMmXqO91sTiUgoPX9fcXre4kX6
uaR9rTkGpAhxfBQSCr5wiqdNACk6EVke3x4vMo4jJ2gwvh1Q/ARgusI9EZk3+HEHkAEvBC1ohQFu
npzLsrQOILVQ3z4OBetgly62nG3Kq3JGQEqJJiJTk8+PQOKDRxDJR0XKigKIXOkCCE1ElhM3HqcH
/w+PNcwDogghBxeshf+VKK39McC54ItP4ahnMGfb8hj0hwtWrzzLWacLvXo6wNDgImedvhz3vs78
ZR+z/NZ+/x1iLm1i/pfcG+9iJyIT2+PUwp8w93RFzANS/ERk4rj1WDh4IE6XMA+I5ZiRnK+/LHy8
/Zm/3P+b6sRg/Xm1dXDGNG/OtuXB/rgY/vdhzjpdmD3zOMaN9eCs07VT8//CNN5nmKrw1jDYHuVu
W6Hct2L1W0rPi/cF1i4O5G5bCrHR5b+EBQUQudIGkNo9EdkGCAKG4XxXHkxnzUCuSp2qKxt7ofMb
w/Dbz7bo3m2/Vpo23ar25dexgw1n2/L48MPt+OpLa846XWjebBu++WYnZ52+fN5mNbr99htzu/za
N2mEe8dXM/9H7o13cROR5cSbwnnlV+A1GYBAifpyOsc5EZkX4gMWYyXzGW0yazuzbii1V8LOhNqx
ZQvO119a7PvepvUa5jb3/6Y6adPGQm0dZK/ZwtW2PL74wgqffGzGWacLbVpbomXLHZx1pHx0sR2n
ACJX1l0w0rJaNxGZrC4/ohscRo0r9lowd/YMgeVnnyDlsfp56JoEBsRIJydSfPG1aLGDs1151dZd
MNrSvAum+InIpPV5u7Gqx684IVZeRl+4JiKTyYsYgR6j1haOzhRBu2C48V+n4N13jQrWwbp1t+Bl
XBJn2/KoFrtgiN5QAJErzUGotXsisnmI390VZ0wH4cbK33A3pPDgVGVlDR8KbAhp08YSv/yyDzli
/Zz6WPMDiAiJAUYY0G4STmay94WIdFqDdWYmmDNuGU4nFm2vqvgAUvxEZO4np2HBkonYZT4cK+ys
ih150CX1iciO4P7uwVhiOhPmK4fBLuSI2jIK2gWQTDzx3IB1lu64Hqn7jXBVlRjPR5cudmjd2gLP
YhM525QXBZDajQKInPYjIKWUuwaCyPlIerGmyG4M7WW/WoSURPWDPfNSF+NV0DwIMlXL9S0/bTES
QxdDlMNdX97wocD+L9kvKK46XagVIyCi0xj36SDZFOJCd/zVfjoCRcwvXOsf8dm8QO5l5Mp0Gm7e
IcSGWuNJivpoREXKS7NDaKgdUkq4GJ42ASTV6x90HuuJZI66mo5dP9j1hKtOFyiA1G4UQOTYAMJO
onXDfECluPR3U2xiHp99DlJvvQ/3jdxtS0Nf/RbnzKJfdBI+WBRAdEB0Dv+2kQeQdFv8+tYAHE0X
I8u9H975/QD3MnJlCiDVTIkBJDsU61ry8L+FyzF93CqcjKtdE5FRACH6RAFELv1pNM4uns+YR8pj
yQKdhA8WBRAdUA4gucm4tr4nfh21Emu68/DujBvq7ZVQAGEI9qN33QHwFIjwdNt3qDP0CHe7GooC
CNEnCiCkyqIAogMqAURR5o/5X3XG9hKuYkkBhJHpi3Et/4An8/5JbszEV/0cudvVUBRAiD5RACFV
FgWQ8hM8NENX3jcwDGIvLy5CSpgv7JZOhcGpZyVOqqRVACk6E6pc2tl++H7sKr1ORKZpJlRZm0M4
O70NxnqVZyKyTDzc9hf+WncQrsv/xcYrFTvhU2WjAEL0iQIIqbIogOhaMl48ji24nHhJtAkgRWdC
lRIYwWLW12j713JkKrXVB+6ZUGV1Ar9pmPXLx/jLw0NtOQVtDkJlg1tG3EM8TS7usus1FwUQok8U
QEiVRQGk9DYP/AP/jByBf0aPKrdfPmiGIB/2dHHujTf3TKhuuLpzOs76DcH3FRBAip0JVWDMfA8Y
wG9xG40B5OyWCejT7mvO118WGzeU/tIDVRkFEKJPFEBIlUUBpPSMGzeG6x4buB1yKbcF336NqIvs
qdXcG2+umVAFftOx44wThLf/RKch/0FQZNeMXqjNhOoGP6spOJPojtuLWmPIIbfCCdKK8DebgrWD
BnC+/rK4eV3zFYarGwogRJ8ogJAqiwJI6W1v3hzC+DjOutLSvAuGaybUA3h08G9MnPA7xv/RHLxG
32Lr1eKPv9CdIjOh5u7GwWW9MIF5Hn98xkOjHhNxVekaMcq02wVTe1EAIfpEAYRUWRRASq9iAkjx
M6F6PZHt7si9/1cF7YLhmglVwQv3l2jeBUMBRDMKIESfKICQKosCSOlV3AhIzUABRDMKIESfKICQ
KuuPAVvx32Inzjpd6NHdCBs3uHPW6cJPPxrCYocPZ52+UAApHQogmhka7Ee332Zy1unCsqU70b/f
Is46XZg1cyuG/b2Ks45UPgogpMqaM2sO8wvJgrNOFyb9O5H5hWfHWacLI0eMgIfbQc46faEAUjoU
QDQ7YG+HSRP/5azTBWtLc8ydM5uzThdMjLZgxbKlnHWk8lEAIVXW/Ln6DSBTJuk3gIweRQGkqqMA
ohm7frDrCVedLrDrN7uec9XpwlYTI2abQwGkqqIAQqosCiClRwGkdCiAaEYBhOhTpQQQU+MrnHW6
QAGk5qAAolmeWAjR63gV25q9j6SwEJWyHGEa5/Ilse/aGZc3jEHMxU01lvvwnymAaEABhOhThQeQ
YX8bYuYMc846XaAAUr3l54jxNEaMuFgxJk1cg82G+6S3nz3hbl9aedlixEbL+h89cjF2bD8ovc3i
al9aOeLC5//n4JnYbeMtvf38KXf78rhisB5bmzRRsfmdd2DauLFK2dGx/3AuXxL2ysYHfusCp97d
aiz29d22seJ8/bXZi2eyzzC7frDriWIdyVK+qGGxRAiYYArelw74Z8VtBL5Uv+ghuz6w/bHrN7ue
K/rPzlJtV1aK/lavtMacWZsL7udKuNuTylEJIyBLpamUq04XKIBUb4sW5KBRo3y0apmPJk0y0KyZ
SHq7wbv5OOIuKWiXmZ5RuFx2ChISsgrvazB1Si6aNJb137ixAM2bZ0lvv1s/H+fPKPrPgFBQuExe
QjJea3n9lFEjmf6byPpv1CgdH3wglt6uz/R/+2bh8yekqjp1QiJdH9jP7QfM+tG4cbp8fcnHrJm5
nMuoysSVoSbo6pyGJ+cCsGCYI3r1csY/S28h4IUIh1yY/pn1me2zObN+N2kikK0vDfOxbGkOR38c
xC9xYJoTBky5iQepzO3RNviqy2FsOJaMPbuy0aCBrP9m72eiadMM6e333svH5k1a9k8qBAUQUvmy
swquzGq2LQfL/lP/kvhzcC5OHs+W38/AsW3+eCBibwtwx9gOQy0FBW1zQgOxfs8L5DC/xGLcT2Fw
TxdMWv8AkUx7Q4McGKxX779XzzxcviAPCIIQmO14Bgl7Oz0Wpl0PwjpNtX1xVizLwTZT9f67/C8P
t26UN4AIkOxmgIvb9iDYeR9uLv0Lpw+/xO2z5vDs1AsBwbKLpYkjnOEzahmePApBxNzOsBmxEfcd
rOE3ZwouHY1ArlKfuVFBMFwXAAdbP8wd7AuPiGjsHLUHfbY/l7VLTcDhae5YdSFJ3j4Ym9Zch9fV
BAjkfaSd8sb3Y4KRlf4KPgv34YdxfnB2uI5VM0/j4EOhtI0w6A4MVvtjv2sQnE28MGRFOBIOncUs
szuwnmyLX2cHwnHbMaxxCIfxwN1oUc8YnddFQ8g+hvgpTPpY4/Mv9mHVRSGE/pcxqMMO1G+wFxv8
C//vBc9Dfh/8cEzv5AEvoQCx3ifwUzs3bLG/gz2GPpi+NgSxzOch7d5VDGphD4PAJITbuuHz9sfg
EydCXuITGIw9Bo9o2fOvTdj1gF0fipaz6w27/hQtV8cGkM3otE/pRwJT9tLvNmxPJErXY3Z9Vl1G
LF3v2fW/aDmXLJ+rML8phDjyNqZ8ZoiGw4LwIluAEzsD4X44G2NGqfc/Z1Yu9tgovkNIVUABhFS4
vNgouDnchuMBGZs/LfD1/EA4X0jQMoCIEHbcD+vX+2PTwK1o8utxuAQWXqlUcs0b7YYEQ+B3DE3q
HYRrlBB5LyNgsTdauwAifoGTW8/DwOwiBr1vit9m3cUdadhhZSLwYjQymdt58SGY0XoTeI0s8L+/
LuBsQpaWAaRsIyySi/NgP8oRQkXb9CuIPPMU+bnJuD3sHWz+aApi+Ex59iMEbNknDVAZxt/BfOEt
WcDjn8bxDz+E72XFMSEiRK7fh942yUx9FuKdb8GHeV4Zuw6jzttmmH6WbZeFJ9svw5ENYMkhGP+D
J44lssvKpT6GxYx9aDskSPqeJG61RZslz6ThJeOwKxr1v8s87kNM/s4N7knyZSSvsH93KF4/SURi
tggP/zNH+01JyMtORrhPGPxeZAGJt9G9jiPchGKkHD6F5T6K55yJB+cfI4F5D5IP7EfdPvdlgaPI
80BuOvx2HMcvXxyEB/tev7yCji18ESQdgk/Dxcnb8dHsx8yyKdjzizGGeTEbS0EwhjbYD3v2eWZG
wtI8WhZCa5nyBxDmf3/vDrZYROIVx+dauwCieR2R3LiKBesDYT7WHHXbHMTs1Tdw1OMaJs8qXQAp
60gq0Q0KIKTC5YTfwl9NN6FVL3eMH+uJEcwvmHe6umOyWVQJAUSI67tOYeG8E1jAmD/MEryGBzB1
uisWOxf+Es6LD4fpwtOY+Y0hfrCSb7jEz7B82CnNAeREDHYvPintmzW8tSEa9fDCzMG+cE1XtM3C
S5dz2Hg+FRlO3hjrxGw42XJm42yzP0G7AFKmERY+Hg59Dy77ZSMRkCRCEBOB1JhYZEmScXeLMaLW
fw2T3/cgVRSBG4oAYqIUQHIFeDm3GbaMP1vQr/jGBbTjGePXxUF4lCxEDruM7WVs82XKmffWPiYT
sTvYAJKFyDXm4P3ki9VTPbHuOPu603HV6hrOXvLF94oAsm0vPp4YivCQaCYs7cT/Nj1D5qGDqNsz
EGnyx2TliBXHBSgFEKV6ZIZhYu+zeChmAt+2Q+jU2BBNBgUiQlzYRnziCPqses48Z/XnIbh8HVan
HmNxJ0UA8VMKIMwGLPAEmtc5gsuZTMCxP4DGfwUjg38f4+sZ4rc9Kci6eA1W/jX18vuaj9HQPoAU
HxLyEiNhOMgazeszAZ1niDqNzPHT+ECEMu+3VgGkxHVEiEjvq9iyMwKxoiwkBwRi7RI/nH2WVYoA
onkklehfhQSQhBfs0dTZ8PLMxrChjvh3/BHp7X222UhR/CrSEQog1YT4Nc7sOAeDA0/wxNAJw47J
hro1B5As3DA7iXVWN5gvEiXmJ2HiqTzcK5MRFoXbcfJfNAkhMNoepTmAnI6B+fxz2KncN8Ny/hV4
KX3RQhKPfcNt0Pl3ewxf8QDnTwbBcu4hLDicql0A0TjCUpxE3OnBg5O9PIBk8xG//CtsG+eNrGw2
gGyHQBKDB/0bwXq5O/w5A0gmEv9rgc1jVKe+Fj8Oxdq+28D74AiOvsySBhCLOBFeODqhcdsz8DJi
A0gGnHttwUD3DOTFXEXHdw7B7cw17PDlQ3jzJDr9eQ8CZuPDBpDmo27j0qn72DJsN36cE8r05wBe
vzuy3SlquAJIFmIcTsPoktLGgHmNh/tvx0A3+S6R7FdwWnoZfsxGSXCpyPPgP4bV9nAkMhuuRR1d
cSiV+QwUCSC5wafR8m0PnGU2iODfRb/GLth/4DK27/JAs/9dhfv2a7hR4v+kutJ8jIbWAaTYkCCE
n8Ul+MQqB7gspN25DmPn19oFkJLWkexUXDFwwdfNjPA2E3DeeMcUrX48AvsHwlIEEM0jqUT/KiSA
bFiXjS++yMfwYbno3u0Vfu/xWnq7Vat87NXxPjkKINWL4O5drOtth+kXZCu+5gCi3WdFEhCJADYw
MBvpY9MsmS8oU3z200FsPJWiOYAodsFoJQ0PXM5h4sAD6NHXHWsOv5T+8tYYQC5qM8JSnEzwt3XB
9mGuEMnLRFbdsHPpDWbDLQ8g7K/PtHPwbcHDpmF71AOIJBR+7d6D+1F5iGFHc3wf4ha7EWZez8kx
29B7n0AeQNjgJsCV2TvAe+sw7NOEOP+POQa7MwFA9ARzvnSEmf1pTBznifEDLMBruB9bLwuZAFK4
CyYv+hK+4R1CbuhFdPz4KM4qjSKlJaRKR1u4Agj/aiAsj71i7gsgVHpfhC7emO7JBpBUXLe7hhPR
zHNMT0OQi+rzMHG+jWUTPDFhrDM+45mgh8njIgFEhEjDXWg4PER+HIsA7oOM8E6fq3gkiMKUxob4
adMT2Ya1RtJ8jIbWAaTYkCBCtKMvZq6/CY/jEfC//gTXzzzArmVHsc6b+ZxpCiCbn2i1joiZ0LnF
+7XK/yiP/xTWW+5qEUAEWo2kEv2rkADC/tPZf37RcvZDwn5YipaXBwWQqk9TQFAOIDnXLuD3r3Zj
7hFBQQDRNLSr6D8v7h7WbYhActpdTB9zFy+lw8JpOLbztkoAUe5fFkDESL54EYO/2oZ33zJk+t+M
xi1t8a/dc4jlfZdEOYAo9y8NIFe1HGEpjjgMwTMH4cgGRzxh+g6e8QtcrR9C8sQHx3oNR2hksrRd
XpgZnEbthSQ9AmGjGsDwl9W452AD/9nDcNT0SuFBmuxIg7Erev53C6cuBGPTBB8cfJSIM5McMOpw
AjLYNpKXsOztjv3ML9vc4KsYNuQ8PJzPYPKGKPmxFkz5Hfmuj/RX8B67Fe90Ow97x0BsHmOPPhui
mTYZeGBxCD/3OwGz/Xfh4nAPZ4LTZc+B/xL7+hqiwcgHiGU2MPyTx/AJzwiftrZEq+YHYBwUi439
HTDH5AasrEMRKU7F6elm4NUzR5vW5vigx2VEyIf9C56H/HlB8hRLpLtgBIj1cMd7vH1Yv+8O7Lb4
YORYPwQky9sxMr08MWBjAhN6MnFjriPm1djdLzKajtFQDiDKn+GCAJIWrUVIECD08HlM6GeLjt/t
xW+Dj2G77ytpYFAOIMr9SwOIcaxW60hu5B0smX4Btm4PceFKDAKvPoKnNfO5XBOqEkCU+y8MIMz/
uBQjqUR/dBpAJDFR8DoRB77gOZwWHMbQMWfhFpoh/acXF0AOGR5FE541eo8+C8cAvvxXUdlRAKn6
NAUEaQBZHA/LYbbo3cESjT7dhsHmqfIAItI4tFtYxvzCtT2MDj8cQLdhZ3H0TBjczU/g73lBsgCy
Vr1/aQA5FQWrTaGIUxnqTcN9y8twjVUcnKbFQahG6v3LdsGUP+Cw8lKfIDk0BOmpxW8kczO1+yWX
K8yAWJiC6PBEpCodX6FCJCz4pZmXloSomDSVM2m0lZeejOiIRKQV9zjFEMe/wpNEPQeC7AxkKDZw
TGApCDG1kDSA/J6g9hkuCCCisgbpVLx+LQ8gA9X7V9kFo4WMB0FYP84JXTrYoPOvrpi5NVx6ZpM0
gIxQ7199FwypbLoLIKIozPhsBwbNOo3/+pqC99tV3Hz8HEcM/LHIsvgAcnD9ITTqchcpURHYNe8w
+vR0wB+jT2O/v+zXXGlRAKkOig8IshEQPmyGWqAubws+72CHue5p8gAi1ji0W/Rx0u4xQWeCC3p2
d8I/q4LwiPlylI2AqPcvDSBnX8F50TEY7AnCybNRuBkQjfPuAVgx8Rx8Co5V0uYgVPX+pQHET5uA
Q4i+aQ7RshGQFLXPcOEumKwSgrQItwwOoE0rc3yq7GNDdDNKl4+AqK8jsgCSXa6Qzk9IlY+AqPev
HEC0GUkl+qfTALJo6nW8Zm7nxUXgwl3ZL5ZEyxMYuEq2/63oMooA8k7HWyq/OCRxUXDYE6bSVlsU
QKoProAgGwF5huWdzfDxT6dxi/m1zM4uqtgFo2lol+sxCmXgsu9j+QiIev+yXTASIO053Nd6ov//
djMByR5/TvfDmegiv75LOgjVSL1/aQC5pk3AIUTfNIdo2QjIc7XPcEEAyXxcYpAWevniX8MQnD4Z
VujIRVi4C+UjIHFq/ct2wURrFdJzblzE762LBJxWZswP3yvyERD1/gsDiOaDZAvLiL7pdBdMRmgU
Ap8r/5ITIdz+OuZsLRwBUd4nJz0GxOE5nDddw5WXuvkFSAGkupIFBNkISCp2/miI977dg+872WKx
j1ApgHAtKxvaLbyfiQgXX4wY4YtD4cyXTDYffhvs8eHgu/IREPX+CwKISr9yr1PAVyvXdBCqev+y
XTBaBhxC9E1DiJaNgKSrfYYLAogkoVxBWjYCkqbWvzSAmL7Wru/0ECwZfwnHlQPOyWAY7nggHwFR
778wgGg+SFb5uRL90l0AEUdjYmNDfNXLHasOPMErJnEq6qTHgMxQ3ycnDSDMh0XaTmW/axZev0gp
WL40KIBUB8UHBFkAyYHkmh9MmS8NxTKKXTCahnYL+k8IxKifPLBqjTd6/eGBf781RZtRNxGSXjgT
atH+ZbtgYmHYw1K1b8YnPHuYpMr7Lo4gEmcuCQsOQi3af0EAUV6GkErFHaJlASRP7TNcuAuGuV+W
IC1fR2QBJFet/4JjQMoZ0mUBRL3/wgDC3i/rSCrRJR3ugonE3w3t4Jr0ChetT2B4bwf0HuCO5fui
YWrNjoCo75NTDiCZR6/C4p7sQyYMvIKf/ypbiKAAUg1oCAiKAFJ0GcUIiKahXUXb3Ad34XxVdj/B
/AjGuiRAzPzqiY14VRBAFG0VZCMgGTi+6Ag2eyn1zfA28McR5YtwiV7i4MLDGLnonnR697z4x9j4
23b86ZRREECU+2ZpDCCcIyyEVDB5QFAEkKL1KgGkJBrWEUUAKbpMQQApUi6l1ToiG0VVBJCi9aoB
hEvRkVSib7oNIG/vxn7lo6BFSbi2/ybmbZZgzgz1fXLKASQn5CG2r70A840uaNbYHnOcYgv7KQUK
IFWfpoBQUgApWs5FcvMU2tcxRdMmW9HkDUO82XgrmjY2Bq9XQAkBRLsRitd73fC/MWexbqoDBo45
gu8aW2LMnjjpRFsaA4h/OUZYCNElDQGhzAFEKSRoWkc0BhCTp1quI8WPopYcQDQfJFt0OaI/OjwG
RIAHjpdgdZFfMKGQgnQXjPwYEGXsh8Rp4038N/+E7JzyuYfRhmeC3ycfw58L76q11wYFkKpPU0DQ
RQDJDYvA6eBUCFLTC/GTccqnhJlQtQogIoQ638F16dHyybCc4IGDEUyYkrxCVLSohBEQLUdYCNEz
TQGhxAAiflJCSNC8jmgeAcnUbh3RMIqqzQiINiOpRP90ehAql8wL4VgiPQ1X/aAg9kNy0PAaFq65
znww5OeT77oBGxumzCiYs7+SUACp+jQFBF0EEE3KH0AycXvxLtRlQ1MTU7zJM0QTNki9a4je1oKy
7YIhpEJpDgglj4AISggJmtcRzQFEfd3homkUtey7YEhF02kAkYTdw+LhbljiGg9JbhYSL11Atw9c
MdlCNgJS9KAg9kNyeFdMsVdGVe5bWxRAqreqH0BEiDgXhoevlcITI+15GHz9Cg9CLbocBRBSdWgO
CCUHENVydZrXEV0EEE2jqBRAqg8dBpAU2I20xdgV5zC9pzPGjrdBk9busA0SSP/pxe2COWR+u9gr
oxZtrw0KINXbsqU5aNo0H22/yMcHzdPwySdC6e333svHQefyb8BnzcjF++/L+m/WLBUtWoiktxs0
yIevT/n7H/dPLtOvrP/33+ejVcss6e13383HNT8KIKQq0BwQzp6SSNcH9nPbokUmmjPriXR9Ydab
KZPVv8dLy+OwRLo+s31+8rEQH3yQJr39PrPeL1ygXQDRNIq6b282GjWU9f/xRxn48MN06e0mTfKx
bq12/ZOKobsAInkOV8do2Wx1L65h4ph7iMpkPijRCdiys/gAIj0ItZgro5YFBZDqLUsoxuNHYkRF
iDFh/AbmV9cB6e3HDK72pZUpkPXNGjFsGUyN3WT3I7nbl5YgtbD/QQPnwdryuPR2zGPu9oRURRHh
ss8wu36w6wl7OyJMdvIAV/vSyM8R4xHTF9snu36z6zl7my1j67iWKY287MLnv3zZbsycblzQP1d7
Unl0F0BEsfiv3RbpUF7TxobgvcEOj5miAc8BY8xLCCDy+0WvjFoWFEBqjvlz52CXtQVnnS5MmTQR
DvvtOOt0YfSoEfBwO8hZR0h1wK4f7HrCVacL7PrNrudcdbqw1cQIK5cv5awjlU+HIyAvcfHkc/CV
h8RS0xB/4iGWargWjHIA0QUKIDUHBRBCKhcFEKJPOj0ItTjsMSBTJ+ciJUmsYthQCiCkeBRACKlc
FECIPlVIALEwy0H9+vlo0jgf9eplMbfF0ttsmasODixURgGk5pg3Zw7zvzTnrNOFyRMn4oC9LWed
LowaSQGEVG9sAJn0r34DyNw5sznrdIENIMuXUgCpqiokgChj0yj7oeCq0wUKIDVH/35bsew/B846
Xej2mxEMDQ5x1unCj50NYbHDm7OOkOpg4wY3dO+2hbNOF5YuscaA/vM563RhxnRbjBiuvx8xpHwo
gJAqi/1f7rIO4KzThSmTvJhfeLc563Rh9Ch3eLgFcdYRUh2w6we7nnDV6QK7frPrOVedLmw18WO2
OWc460jlowBCqiwKIIRULgogRJ8ogJAqiwIIIZWLAgjRpwoPIAvmLYOhgf72KVIAqTkogBBSuSiA
EH2q8AAy9h87JoS4cNbpAgWQmoMCCCGViwII0adK2AVzRvqh4KrTBQogNQcFEEIqFwUQok8UQEiV
RQGEkMpFAYToEwUQUmVRACGkclEAIfpEAYRUWRRACKlcFECIPlEAIVUWBRBCKhcFEKJPFEBIlUUB
hJDKRQGE6BMFEFJl6TOAjB3jDh7PEO+8sxlRkfGcbcqLAgip7vQZQDzd7qNu3S3SdfCkTyhnm/Ki
AFK1UQAhVZa+Asj0ad7S8KGMq115UQAh1Z2+AsjVK4/V1sG7t2I525YHBZCqjQIIqbLY/2X9ekbo
19dJp958U/WLj/V9p92cbcuD7ZcCCKnO2ADCfo65Pt/l8eEH21XWP1bDhsacbcvjnbc3UwCpwiiA
kCorIuwlLpyN0LlWrczVvvyOe4dyti2vxHg+52sjpDqIf57M+bkur1kzjqmtg0sW+3K2La+oiATO
10YqHwUQUivVq7cFb/I24G3eOixe5MvZhhCiP716HpCug2/x1mPQIP1dnoNUXRRASK11bNJE3N9v
x1lHCNG/W9YWODV3DmcdqfkogJBaiwIIIZWLAkjtRgGE1FoUQAipXBRAajcKIKTWogBCSOWiAFK7
UQAhtRYFEEIqFwWQ2o0CCKm1KIAQUrkogNRuFEBIrZCfk4XkRw+RHB5awH3Y3/A32qxSlhL1iHN5
Qkj5pT15rLK+XV63Bl7jxqqUsetpniSTc3lSs1AAIbXC8+tXsfOrL7Hzy7YFtn/QHOYtW6iU2f7w
PbIzUjn7IISUXRY/ETbtvlFZ38xbfMKshx+olO36+iskPrjH2QepWSiAEEIIIaTCUQAhNVZu+EH4
rTbFPWcHBJkvgdsCV2Q+u4zAUR3htP0+8th2qQ8RMm0kLlwIRar3HNi1G4ar9va4bTgbPms9kSpS
75cQoiVRKB4arkSAnQOCD5jh3N/z8CCc1kEiQwGE1Ezp53C66wgEx4vkZXzEHfdFRrYYkl1Dsfnt
j+BzNpEpFyFl+yYEpTFtXm7BnhbzkSBh2yciZvKHMJt9ATlF+yaEaIGP2Pld4OkSh3x5mcTfG9Ev
RLQOEikKIKRGkrgNgUk/O4il9zOR9TwSqdGREPAzIbHdhOu+a7GrYQ/cixEgdYfiy89I6ctPjNzA
OdhWZxyeZBb2SwjRUvpBuL3XF/dTZffz+LHMOhiB1OeJtA4SKQogpEbK2tMdhr1t5QGE+cV1Zyl2
NRuLyGTmNvPldyMuE+mO/WDadinCjbi//PKCF8P87dGIoi8/QkqPvwsOvF4FASQ/PRDnv24Gr+Ov
aB0kUhRASI2UF2EK++ZDEfpaXvbaHA5fLcFLsVj+5cfumklG7OwWMHxrKO6pffllItnwG5gM95SH
GEJIqUgeIqBrc7gfjpeXxSOw+5c4d0tI6yCRogBCaigh+C4zcGjMOgRf8MNTl+nYP8AC/PTHiJrU
Ex6HwyBh20lCcLP3SObLj49UjxEw5v2MS/vscXfLLHiMNUZcctF+CSHayot0xMk/R+OKy1nEXXbE
iV/741ZwBK2DRIoCCKnZJEkQhD9A0rMk2RH3XETpyOUqJ4ToQCbEcaF4HRYDsXzXihpaB2slCiCE
EEIIqXAUQAghhBBS4SiAEEIIIaTCUQAhhBBCSIWjAEIIIYSQCkcBhBBCCCEVjgIIIYQQQiocBRBC
CCGEVDgKIIQQQgipcBRACCGEEFLhKIAQQgghpMJRACGEEEJIhaMAQgipEOJnj7Gh7y6M2RkHfrYA
IQ4XsHbHLRw6fAdbJ7ti1akUBGxxQcdPjPDeD+cRkC5ArLcvfvneE9sd72DniuNY5vKSLs1OSA1B
AYQQUkEEcOi7A9MvCpHmcxQdRgQhRV6X+/gW9nrE4NKlZOTlpsKx2xb0PSQE4v3wU+tTeMBeRTUt
CEMaOsFFoNwnIaS6ogBCCKkg8gByKRVuQ7ag1940pToRcsSK20KcmuCINcEiJoBcxY8fHcWJ0Be4
bueOdj9dQlBBO0JIdUYBhBBSQRQBJAX7ehqiv6OAo40YeY/vYPnmx8hg77MBpJk7HM+H4/Cmw+jU
2Qc+CVmcyxFCqhcKIISQCqIIIAKEb9yNTyaGQ6ioy07D60QR8Doa9uahiM0WQ5jOBBQmgBTsgsl+
hc1fG+JvT2GRfgkh1REFEEJIhRDHPcaCzw3RzfQZ+GnPYPW3HfrPvQoH57twcgnHwydhmPmxIeq3
skSbltvw+5aniD3iifff3o/1dnewz9AD3XpfwPV07v4JIdULBRBCSCXJgvBFAqKepCGHs54QUpNR
ACGEcIr0OYa7trtrvOfXr3K+fkKIflEAIYRwsu/aGXY/fgmf6X/UWGYfNcWZRfM5Xz8hRL8ogBBC
ODn+/gtir2wBcLzGumExjQkg8zhfPyFEvyiAEFJjiZAYYIQB7SbhZCZ7X4CQA/MxuvvnaNV/F6Kz
i7ZXRQGEEKJPFEAIqclEpzHu00HwEjK3s+MR9ywZEN/Cgvb94MaWcS0jRwGEEKJPFEAIqclE5/Bv
G3kAkZalI+7EPAye7SOb6EsDTQFk3bpRmDmzv5revTti2rS+KmWzZg1ASIgVZz+VjQIIIZWHAggh
NVnRACKORYDjUnTlNcasAO6ZSBU0BZAjR1bCzm6umvXrR2PPntkqZfv2zUNiohNnP5WNAgghlYcC
CCE1mdoICEuEiBXdMOpYulKZOtoFQwjRpxoVQGbO8MYbbxjinXc249aNJ5xtCKlNBA/N0JX3DQyD
EpgwEojd8xbA1HobVi6zQYiIexmFkgJI2t2F2GwyF4fd/4PN5onwij6CzGebsKL755hs8R8OH14M
s8UTcT6Le/mqgAIIIZWnxgSQ9WvPg8czVJGRpnmImZDaRYS06HsIjUrQauZRTQEkL3Ephn/XB2eE
8vtxM9C7/Z/wz3LDzp+bYsHtI9Ly3Bf78CJXffmqggIIIZWnUgIIGw5++H6PTtWps0UtgLRsac7Z
lpCa6pNPVuP7Tp2Y2+XX+r0GuOO9inPDLXTqhLf6LESGoizXGqvbNsZMf1fs+rkhJp/YjaiQVdjn
uRu5SstVNRRACKk8FR5AXsYl4f6dpzo36A8XtQBy7uwjzraE1FT+V+4xfwN1wuinTsWOgKTtbQ/e
gMUQKsry9sLw2waYepEJIF0bYMQBY/ifnwMz510UQAghnCo8gOhTp067C8LHrp0BnG0IIdrRtAsm
99F4/ND2TwTmyMsyVmJU227wFrhjl9IuGOTaITJGfrsKogBCSOWpUQGERWfBEKIbmg9C9UTI7r4Y
t3ohzl9ZC5sVg7DtkjMynq7HlA956LZhMQ4fWoitU/rBKsKLY/mqgQIIIZWHAgghhJNWp+FmOiHm
iROyuOqqAQoghFQeCiCEEE5aBZBqjgIIIZWHAgghhBMFEEKIPlEAIYRwogBCCNEnCiCEEE4UQAgh
+kQBhBDCiQIIIUSfKIAQQjhRACGE6BMFEEIIJwoghBB9ogBCSI0lQmKAEQa0m4STmex9IR7tnYcF
RuYwmjUP9hGiIu1VUQBhJcB1aEO80+A9NKzHw4eTT3G0IdVKehjCZ/0A20nmCHaxw7VJsxDo7w7f
zh3gZWKJy1Mn4/qVBGnb3PCD8FttinvODggyXwK3Ba6QKPrJvIxLc3YiLVupbzWJeHnAAP57nRHq
Ygk/Y3fwmXVRdG87XBu1grdbmLS/rIf74T1gOkIsl+CEmT0CJ3fG/tk2CNo2FRcd7uDRnB+wZ5wR
bq2fguOmFyFKuo0bAxrBYsJB8NOYx+EH48GE/jjhugMXZ2xDkOUk2P0yC3cPmMJnlava88o6tEL9
cextOZbdj7QzS+Hw3VjcufWsyEUsExE1tSOOeKYplZUOBRBCajLRaYz7dBC8hMzt7Eisafc9LOPF
yLDpid67krmXkaMAwhDfh7//M+Qxt1N2D8TQ/Unc7Ug1IkL6pm9g/t996f81PyEM/MTH8GvXCueC
M5HjMxybWi5DYso5nO46AsHxiqDOR9xxX2TIA0eW53TsePNLXGKWUe1fQQThwaHYPclHHlpESLPq
CZv5F5Ej8oM3rwUuM+uitG12GPxWWSLjSSSE2ZlI/K8VbDbFID87FvzHyUgz/AYW7PMVHoPHWy1x
ITgVcRN4MF8fV/BY/I0rcOvlY6QyzzcveDEs2m1EerYIgshweZtCEq7HeRDCvWyaJfZ/Oh8vxap9
iC9tx4mfv8BhtxSV8tKoFQEk9LArblrsIOX05MJZlfeVVAOic/i3jTyAsCMg2zuC17QHxs+xwm1B
kbZFUABRlgj7oUPhkMpVR6oXNoB8BbOpvki6a4e7R+OZABCDq9IAIkD6zi4w/NEMSYeGwKSfHcTS
ZTKR9TwSqdGREPCZwMG0v7faCI82dIDFTCZQqD0Gi4+gvm/CaX9h0M97sBzWH07D09SrsgCSIG+b
Hc4EECt5UFEKBtL7ssDEBpDcZ5aw53XGzdg0PP+XDSDP5X2LkMIEkNvsaAj7OAUhQnafW9HHkVFb
Nt0KB4oGkNQLCLQ4gdiFHSmAKOMKILu/+wpOvb7A6YW/kTI6Nf9XmH3YGGEeh1XeW1LFqQQQERJ9
DDD33y6o+2Z32D2mXTBaB5BUO4wcsQ8CrjpSzcgCyNbRDog9aYobbsxGnA0g37yHQztscdNsP54n
iJC1pzsMe9vKA4gIkjtLsavZWEQms6MEm3FipTee+/6H3Y2HIZwzmCbiTjceXBz5BWX5keth02QS
YtKv4dibygEkDH6rrTUEkK9hMng77ltsw4OA50y5EC8mvqkSQPgbV1ZQAElCrMVWRMXz8WJBBxxy
TVJZvjRqRQDZ16UjngfOYL5wDEk5vAqeA7OPmlAIqU6UA4j4Hhb9MBBHBSI8Nf8eX865w72MHAWQ
QgLHERh9gHa/1Ayqu2CkZQUjIIW7U/IiTGHffChCX8vbvDaHw1dLmA1xGp6arkPwgxAkhd1H8KiW
cNrzlGMjnImkTZ1gPd+/4HEkHsNhPcoD4uxwnGvaAL7BQllb0VVcWX8I2fLlihsBKXi+TFnK0qYw
nqMoE+C5wVo8lI9q6jOA5EWcwI1F4+E17h+4fsqDSXcjPJX+wCk9CiAF1oLv9T/Y/vgDAl1H4L7p
D/C1m4+UY11g26kjrtn0hM+0fniawrSVLMDDzT0QYD8cwY5/4NywnxGaUdhXzvUe8N37H/JV+leV
dfdv+JkMQYj7CNza3Bfh0euBlDm48UddWEwcBT7bX9p8PJjYFid85iB0zU+44TQQx39qxTyvvxEw
oztC04r0mzoSF2cNQJD1D7D79X+469gfPv/9rF62ZhjSzneDQ4eOuHN3OXKU+2Bkne2MvWPHqJWz
alsImTrFC1262GGXdQBnfVUneGiGrrxvYBjEHlSXiBNTfsFYm2PwXD8eq07q4BgQsSnWzZuDuDxF
mTcSAydiQPs+OClWaqcHeSkW2D22Fb4eNw+RQqZMYAnTET9hw1l7IM8JgVt/QPup6yDmWFZBuwDC
x6Gxo+FIu1+qlXu3n6JH9/3o09sRz5++LqxLD8fD4fVg2GcXXifLyiSPD8OjPg/Oex8gS9EuVwi+
ywwcGsOEjQt+eOoyHfsH7MDL6wZw/dsUSdLRhjSkWPwGw08mIjyKY1eE8C5uTxmDC/t9EettifNz
jfEkgR15ZAKETX/Y/GWCiPPHcN9wCQKvyEdK+CG425cH45GHkZrO3Geeb9jI+jDsa4PX/MK+8x9b
w7X9n7jufQaR9gY4Z3lRPoKSiCTbPjB8dzjCogtHX9QUfRxpedFlU5DmOwU7eF1x0dkVIY6mODHb
CnwJ2zYT8YtoF4yK8oyA5L/oDZtWvyIxl7mftwL86FXIi2PKPmXL1iFy1JswXzUTMQtbwvPg8oKA
IQkYh+gEA3k/qxE+qyE2fd1D1o+8jbL8xJFw/+4HRAnl9+MGwal9VzzNWo+4iTyYGyyXtzUAf1N3
3MpYi7SnzOPlzsO5T5vj6gsD5McvQkqmar8QLkFqogHyHv4Ki/a9kZ5nAEHIVPWy6EVAxmDs/+xn
vMwp0odgCm7MagXrv0YhW7lcSW0JIV3+Zwsez7CAqckVznbViwCvIx/gabL8l5cG2gSQNK8BaPFW
C6x7qHTJfckmjPvsf/DKUm2rD7mRU9D1ky5wT/Rm1tVlMNptURA4JBd74LMhqzReqVe7ACLAq2dx
xeznJ1VReOgLvPnmpoJ1t27dLeC/LuOGUpIEQfgDJD1LUhqBKJ3sl+FIiVc/WyQv+RFe3Q2CoITj
sYolfoWU+7fw+nnZQ0BlogCihA0guz7qjOiI2bhv9w9E8jJpAJEsxa2febDbOhhuDb/AfYFsmby0
ZUiNXYzU+NXyPobi4tZ/cKVTI5z0X6/Sv4LE6Qts6vM3JIqy3Lm40LYBTvivwfNJbABZIW9rgBQm
gNxWjK4oBRBFX1wKw4aGMuFgHFALIGvwdOdARPn9jL0aAgiLDSHTeJ/ir98tMWGcZ42kHD4UuNpV
JT933YTxY8cyt8vvtw+bI8hnDfP/5t54I+8A9q+diGMbW+PT2caFIw2SLfj384oJIIAXwkzbouXo
+XA2mYlApceUXOmJz0sIIGeNJqB/+3acr7+02Pf9564bmdvc/xtScb7+eqfauvtt+12cbXVl9I9z
0Ik3Ah0V3piLP4Zzt61QQ7fglzeUnhdvFH79nzN321Kw3XNTZTtbFhRAlEgDSNPvEOw3AQHmoyBU
lDX8CjcODMQN5xkQpgyBA+/zggCSL5yN89+8C6+Tq5j7Bkg0+QkXTk5H1IoPYTpyDPOlrP44WXs/
g+GAYYUBJG8RrnxbD8cvrsWLyW+oBBD+ph4VFkDEfgMRcGY5JLd/xp4hIyBWWr6oe/uGYl3z1ti7
/TRcne/WSMq/oFjvv7+Vs11VYmrszvx10Im57b/C4wvs/5t745378F/MWr0ON88MQ4cmv8JLIK+r
0ADCyLXCqi/qYZS3m0q5NgHE32wKVv3Rn/P1l4WJkSfzl/t/QyrOhHEeKusua+WKM5xtSdkE3X2m
sp0tCwogSlR2wSiXSXfByMtyFyLg53fh7r5S3mYlAns0w7m7G4Csqbi+cQQSIxYg6eFweLZqgzsF
u2YK5T3qjb1tu+K5YuOfMQYebb9DuMAAKcvrw3jePORJ267Hc8OeeKjY1aLHAJL3ZB6iHX6F94SO
OPpHYxg2+gzXrq4rWF4ZGz4sPv0Y/Mgwlfe5pjntG4Y33pB9eTVoYIykV9VzmLOsNO+C8YT/tn9w
MHQ3IiJ2wnV0M/S2dWQ+t0xdRQcQuGNf7+aYe1NpNxBDmwCi/Wm4pLrp2fNAQfiYPtWbsw2pXBRA
CqxFikd7GPE+x50wdjRDXnbkW6bsC9wNl+1iYeVFj8DJIR1w5eBkxF0dgRO/tcWtyBV4sflLuJnN
l41sZC3Cze487JgyRv1YDazDq92dcXT134i+Mha3VnTB9UsrpMeU5Mf8Cddvv8F1n0mIPNAb56yn
FoyUZEX8BWfeO/A4srhw9ETNaiTt+wKGDb5FWOzaYsrWIu1MZ+zgtcJF11EIce6PE3MHgy8PWXn3
fyl2F0xtCR8KGWnpqFt3M2dd1Vd0JtTSzepZfADxBv/WOAwcNhmPMtj7noixag9eiz7weuIBwaNp
6Mp8tgxDDhZZTj+yXmzG7FY89LTciZSCg2Hd8MjyK/Daj0VIimp7ZSUHECEindZgnZkJ5oxbhtOJ
XG1IVWWw/gKWLDqpWk4zoepgJlQh4o16Y2ebL2C/4lyZj4+iAFJmBhC/XIDXEUshLuZg0xJlLkfK
E/WzUJCzCinBs/E6XhEgqobaFj5YmYIM1K9nxFlXLSjPhFrKWT3pNFyG0B1/tZ+OQJEYfOsf8dm8
QO52pEraauKHlcvPFClXPQ2XZkKVP05pZkJNcsXZpceUzhgqm1oRQLY3bwyj+m9ja5N6laAuTBrW
UdWYq13pmTYq0m+jupztdOb9BngdGqTy3tZ01T+AKE9EpqDdrJ4UQBjptvj1rQE4ms5scNz74Z3f
D3C3I1VS8QGEZkIt6zwgrNwAU7h1bAzDxn/gbljJZ9QVp1YEEJv2X+CB8zAkscdmkDJ5HT4f7sM7
4NCf/ZjPukDl/a3JamQA0XJWTwogrGRcW98Tv45aiTXdeXh3xg2ONqSq0hRAaCbUsgcQGREEB/th
+x8HaReMQnmOAaGJyNjjP/rC+asmsP6lG2KzlPpm63I2wGNERxwe0p/57NWOEFITA4i2s3pqFUCK
TkQmNIXxoNZoUb8eOm8whbBoex3SNBGZMGACBnVsivrvfY4NAe6cy7O0PghV5I/5X3XG9kjN09eT
qkWbXTDSMpoJtaC8pACSFxUCvuL7JN0RPlPdKYAolOcYEJWzYGrlRGSrELr0N0QWDTZKqlIIiQh7
iXr1tkiPcv/ow+1MWND986nuAUR1JlS2TPtZPbUJIEUnIpM82Ay/BG8geSG61+0ENz2fCcM9EdkR
PLhkhAQmFCU7tkfdvsuKPROm5ADCfNGH+cJu6VQYnJIdP8PdjpTWwgUnC85SWbniNGeb8uIMIDQT
qkyZZ0LdgUfr+8FplhFuW1ggIpx2wRQobwCp1RORSWYg4O+PYMqrh4N2C+SnAqtThJBDf/YHPyEJ
afy0SvHuu0YFX2CsL9tacbYrj/jnSdKQw1VXFaUm85m/iRo8x+PQh0jmrFO1t1sXzQGkuInIWOL1
mNhnJB7mKJXpRfETkbHEp3qhz5qdyFEqU8YGkGNzZ3K+fpknCL8XghdJXHXqZO8/9/+GFHJxvKOy
7rKOeDzgbFsehgYXsXjhSc46rb1+gee37iAm9AVSuOq1kPToAZ5GsJ8R1fKUJ8F47Hcbz5+rlmst
8RmeXr2O6LAE7np9iY9GYvhz5CptZ8uCAogSaQCpxRORKeTHj4TLh1/iYZFdMMqyUldhFO87NKhn
iEYNTSpF0S8wFle78mj4nrFe+tWX995bxTznhszt8mv4ztu4481+rrk33sVORAZvxDgNg5Gf6sRg
elPMRGTIs4XT8gnwk54qzM130zg0qleP8/WXFvu+N2iwmrnN/b8hherU2ay27r799ibOtuVRt+4W
5rG2cNbpWsO661GXt1rJejR4j7tthXrPEPVUntca5keVMXfbUli0oMjpzWVAAUSJyi4Y5bJaMRHZ
AvALAsdaBE/vjPBiAohEuBYOPdrixPRJyM/JUnmvK9K33+5S+QKbPOkoZ7vyqPbHgJSD5l0wxU9E
xr8+D5Yn7Jjb7hCyx2aoLatrXBORueK6/TSciPVmPuvM81BpX0jrY0CIToU+eF4wyR/rzTcN8fhR
PGfb8uA+BoRUFRRACtBEZK9v9YRL7//h2u6BuOXDvQtGET58pv1bqeGDlZctQr++TtIvsFkzjnG2
Ka/qHUCKTkTGEAfCpE9bfP5FV6y6qH5xLGXFB5DiJyJzcuiDT3h18GmbD9Hqg29hHMEEALXldUt9
IjJXnJ7ZFLz6zdGmTTN88PsERBRMUKZKmwCSF++Prf2/wdTj6bKy1AswmrkEZmvnw/TiK7X2RDtX
Lj6WXt6gIfNr+sa1aM425cV9DAhNRFb+icjYg1Hd4bfGHOFXw+RnCpUeBZAyq4kTka2HMGYxMiVc
dVUrfChjA4i+nk/1PwtGaSIy5n7K4UVY7pOo3o4DnYarIMDFfz7FEE82sAkRvvJL/GzBbKCSrfHb
V0sQJuZahmhjl3WA9Dubq04XtDkLhiYikz9OaSYiSz6Coz/8g0flnBm4VgQQqy9a4diUH3B9W7+K
t/prWL3JgyFP4Q1Y/92du22pdMPhz99Q6peHTW2/xkXOtuV3zbQv9v3vsyoXPlgUQDRQOQ1XgMBt
Q9CpMQ9NBtkgooQNJwUQBQGujG8jDyDJsPn+LQw/lc78gDiF0XV+wO6CMwhIaVVeAKGJyMp6Gi77
WMlrWsLwp/m4MHUsLh1/orJ8adSKAGL20fvY8UlD2P/8KSmjfV1awbRRfby4qfreVgUUQDTgmoiM
+bVzuP8HGOhW1l0wNUfpA8grbPuKhzEXBEwA8cWItzpgp/xLn5ReZQYQmoisrAEkBQ961YWrewry
Y0yx550heKT8/VIKtAuGaC3ixDhs/6AJXgYGqLy/lY0CiAacU7GLIXSZgunSDapquTIKIArKAYS5
PbYZehxgNirpe/Drx1NwU8S1DNFGVdgFIy2jicgKyksKIHlRdxE0uiUOujPrg+gaTn7ZF8Hyxy0t
CiAFaCZUqdzFCN/UA4Fe08CXn2qsrLQhxMMtCHNmHZde2p6rXhcogBRPZSIy0Q1s7N8Tc0ysYGV9
FJEFv2i4aRVAis6EKrGC4+Lf0OOLZhhgu1d6VozaMjqiaSZUSchCLB79Lb5o9QNso4s/EFarAJIa
DMsuPLQ3uCu9n/d4FyaMMoCjwQhM2vtIaaNQs7AT+61YdhrL/zuF1GTNYbWsKiWA0ERkMmWeiMwK
SUGmcBuyBg+dl+LYhovy4FR6FECUqJyGW0tnQn00/mMcPaY4xZibtiFk7Zpz0nCgYLv7Jme78qIA
oj1xfDieJGo3Y6w2AaToTKh5fHu8yDiOnKDB+HZA8TOQ6gr3TKje4McdQAa8ELSgFQa4eXIuy9Ju
BIRDZhziXmj4cq/msoRCNGu2rWDdZc9USU/RfQipnBGQUpIkQRD+AEnPksocNrNfhiMlXv39y0t+
hFd3gyAo4wgCxK+Qcv8WXj/nCD96lp/2BPyYV+UK4BRAlEgnHavFM6HmR/aAOa8FzqzuDK914yBQ
Wr4oNoQsrvMxVs89CBOjK5zYc/sVX2AKXO3Ki+3XeMtlzrryYmdSfPvtzZx1VdG0qbuZv+zzLb/R
n3+GR2fZzxr3xrvYmVDF9ji18CfMPV0RE5EVPxOqOG49Fg4eiNMaJiK7ZDoRM7r/xvn6S8t4yyZM
n2bD3Ob+31QnQ4a4qq27nX/Yw9m2PP4achC//LyPs04X/hjgjN+77+es07UNgyeiH687+iq8ORlz
V3G3rVDLjTHyTaXnxeuJUUN8uNuWwtlT6mfXlBYFECXSAFKLZ0LNdm6DLQNHIztvCQI6vgW34+sK
6op6cmkS5rzxCeaO24/VK89yevPNTWpfYlztyovtd9WKM5x15bXsv1PSGRq56qqikSN2MO/FcuZ2
+Q39rCVCT7EhmnvjXdxMqDnxpnBe+RV4TQYgUKK+nM5xzoTqhfiAxVjZlYcms7Yz65pSeyUXmQAy
tstPnK+/LEYMN2f+cv9vqpNBA13U1t0u/7PlbFse/fs54cfOeznrdKFXTwf83NWOs46Uz3GvEJXt
bFlQAFGisgtGuayWzIT62rcDzAePRg7zuC/mvA+Xg9zzkLDhg90F8/TKRZX3uShnpes9sLMeXjwX
wdmuvNj+aReM7mneBVP8TKjS+rzdWNXjV5wQKy+jL1wzocrkRYxAj1FrVa9To6TMu2BqAeWZhtu0
sZBO/MfVrjyqxS4YojcUQArQTKh8yTwEDPsalz2G4/zknniq9rzl4aN5Yzy9fEHlPdakwbvGyEjT
35VzKYAUh2MmVBb/BKZ3Gq12ZkxRxQeQ4mdCdT85DQuWTMQu8+FYYWdV7MiDLqnPhHoE93cPxhLT
mTBfOQx2IUfUllHQJoAUnQlV6L8Jgzp8gvoNvscG/5p7HAjLYf9tTJnEBDuOOl2gAFK7UQAps5o4
Eyojbw0EUUuQxfGayhI+WBRAKlGRmVCRmwS/HdPxyxeD4VHCgW9lOg037xBiQ63xJEV9NKIi5aXZ
ITTUDiklXI1X29NwC2dCFeDB+fNIyBYj+cBvqNvHRemsiZqHAgjRp1oRQHZ/9xVs2n8M92HfV7wB
LbD9DdWZULd3+pa7bam0x57mqjOhGn7QEgc525af29BO2NasUanDB6tuXUMmgMivo6EHb765kbNc
F9hTEevUYQIYR121UGQeEMFlc1idOo/FnfQUQKoZbQNI4TwgheXiE+PRZ9X9YmbBrBkogBB9qhUB
JPbiOYR7upNySnxwT+V91Vb9ei2ZAFI4HbGu8Xjv63EEJAV163zCWVctKAeQ1POw2u6DxPTrWNRx
EA6lat6nTwFEgSOAZIfDaakh/Gr4LKgUQIg+1YoAQipXg3ff1XMA4ek1gNSvV4+zrlpQCiC54Y5Y
NmEsJoztj894DdHDRPNoFgUQhaIBJB7X7cxwIpoJcOl8CNXa1xwUQIg+UQAhekcBpPKozISqKJcE
YomudsEUnQlVLu1sP3w/dpVeJyLTNBOqrM0hnJ3eBmO9yjkRmcpMqPE4Pf1j8Oq1QpvWLfFBj02I
0DjddfVGAYToEwUQoncUQKonbQJI0ZlQpQRGsJj1Ndr+tRyZSm31gXsmVFmdwG8aZv3yMf7y8FBb
TkG7EZDaiwII0ScKIKRsspPhu9Qen9TfjLd5m/D+D0dhe5f7TJfqFED4Cakq96tyANnSczw6fLgC
rVqaF2jSZBVatmjJ3C6/ZnXr4o43e0o698abeyZUN1zdOR1n/Ybg+woIIMXOhCowZr4HDOC3uI3G
AOK7aRw+btSI8/WXxehRIzj/V9UVBRCiTxRASJmIz1/GfwdeIpO5nffiLjav8MOCgYdgHCC7uuOX
bfPQuHE+mjbJx5tvpjEbxnypjz7KV+uLWxaSL17E4K+24d232MmQNqNxS1v8a/dcennsTz9V7Z/9
y97/tFUeR1/qcm5cxO+tzZn2yszA++2KtP5j5nkqnrNy/+zrKtpXZXHuPwABBw4hLvZ1gejIWOZv
lE5Y/fKjxhEQrplQBX7TseOME4S3/0SnIf9BUGTXjF6ozYTqBj+rKTiT6I7bi1pjyCG3Yi+Kx46A
HJw2mfP1l0V6ymu1/1N1RgGE6BMFEFImkjsBWLMjHA+Cn+CYoQN6LnuG3OxXcLF+IK1v3y4P169K
wH8tRnJilvTv40divN9UywCS+RhWm0IRp3yp8+w03Le8DNfYLHz2aT7u3xGr9P/gHhNMWmnZf3oI
loy/hOMnw3C6QDAMd8ief/Nm+YgIU+0/4JoE7b6pOgHk4KA/EOlzjLNOFzTvguGaCfUAHh38GxMn
/I7xfzQHr9G32Hq1+OMvdKfITKi5u3FwWS9MYJ7HH5/x0KjHRFxVukaMMtoFoxkFEKJPFEBIGQkR
6X4Gw7vvQ68J/giQX9Y6M+qV9O933+Yh9IFEqb0YSa/EaPa+IiBkQKh0EGReQjJeKx/MJ0mA86Jj
MNgThJNno3AzIBrn3QOwYuI5+CSJ0aZ1Pp5EKbVnPI0RS4OJ7H4J/Zfgg+b5SIxXLQsLkUiDVWFZ
+R6jvCovgBQ/E6rXE9nujtz7f1XQLhiumVAVvHB/ieZdMBRANKMAQvSJAgjRixIDiCAEZjueQcLe
To+FadeDsC46p0Lac7iv9UT//+1Ghx/s8ed0P5yJzpTWlRhAtOmfg+IYEK0CSBkfQ1cqdwSkZqAA
ohkbQCZPPMJZpwtsAJk3h/lfcNTpAhtAViw7xVlHKh8FEFI22am4YuCCr5sZ4W2eId54xxStfjwC
+weyY0BKDCDiFzi59TwMzC5i0Pum+G3WXdxR3t1SnNcp4DN/SwwgJfRf0jEgWgWQsr4GHaEAUn4U
QDQzNLBG926jOet04b/FRhjQfzJnnS7MmLYGw4fN5awjlY8CCCkT8aVr2OL9WvbrXy6P/xTWW9i5
EjQEkKZPsXvxSSyYd0JqeGtDNOrhhZmDfeGaXtgW4icw7GFZJCCY4xOePUxSNQSQllr2X8IxIBoD
SFq0do+hZxRAyo8CiGYO++0wZdJEzjpd2GVtwXxnz+Gs04WtJkZYuXwpZx2pfBRASJnkRt7BkukX
YOv2EBeuxCDw6iN4Wp/B5DWh0vrCACLCjfUH8HUHHzgxAaFZ02cwn38OO21uYI8Sy/lX4KUyMZYA
xxcdwWYv5YAQBm8DfxwRKgeQwv5tQ5kA0krb/jUrDCCF/VsHygOIKEYnj1FeFEDKjwKIZhRAiD5R
ACFllvEgCOvHOaFLBxt0/tUVM7eGI1a+C4INIEFHr2H4Tw7o2MYEnzV3wZZw5YNQy4cNII9Pq/a/
4a7yQajlwwaQl1dU+1/lX/Qg1MpFAaT8KIBoRgGE6BMFEKJTioM4pSMgZ2/g70+3gFfHEh27+OBA
BBtA8jTO71G0v+JIR0D8A1T633OfDSDl61/lINQ7qv1bXFcEEM1zlBTtUxey+ImI8D6qwu7Hzri8
do1K2ZPzujvi375rZ7gO7IwrhmNrrF3tWlIA0YACCNEnCiCkTEo6iFM6AnLoDH78yAz/W/oEObki
JEiPAXmicX6PgrLiKB2E+tj7tEr/0ewxIK2061+bg1BfnlHtP1hxDEgJc5QUlOnQ08sXcHjIYBV7
v+8Ep969VMqOMRsLXc0KG3RgHy6vX1vjRfn6cL7+2kqYLsbuXdlwO5SN2bOY9aSHjfS23d5sPAzh
XkZbbMBP4xf2P2WSLwb02y+9bbsnG1HMjxSu5dSl4pqJO3r0dMMqN9mEiKnnT6LH1BAkJ4qxx0bW
/7h/vPHXny7S2+xjPovl6otUFgogpGxKOIhTOgJyMQA/8YzxXac9+P4nXxx6ygaQZI3zexT0r81B
qDeuq/TvEM4GEC371+Yg1Aeq/e+5Iw8gJcxRUvAYhFRVGs5iO+4lkc5Y/M/oXAwamIru3eKkt7/7
Lo8JJDnc/RWhKeC7OGajZYs8aZ9/DOAzAeeF9DY7yd/ypdr1LzlzGLy3D2HPmUh4bT+F2QYheJJ4
Ea1bX4bNzmy0/kzWf/9+SejVM156+8u2edi0MZuzP1I5KIAQvZAdhCrCja1X4CuUlRWchqthfo9C
2hyEmqnSf8FpuFr1r5nsIFTV/lVOw9XBY5QkN/wg/Fab4p6zA4LMl8BtgSsyn11G4KiOcNp+H3ls
u9SH8FxxFumvIxAxtzNsRmzEfQdr+M2ZgktHI5BbpM+icqOCYLguAA62fpg72BceEdHYOWoP+mx/
Lls2NQGHp7lj1YUkeftgbFpzHV5XEyCQ95F2yhvfjwlGVvor+Czchx/G+cHZ4TpWzTyNgw9lp2UL
g+7AYLU/9rsGwdnEC0NWhCPh0FnMMrsD68m2+HV2IBy3HcMah3AYD9yNFvWM0XldtOxS9+KnMOlj
jc+/2IdVF4UQ+l/GoA47UL/BXmzwL7z+UMHzkN8HPxzTO3nASyhArPcJ/NTODVvs72CPoQ+mrw2R
Hq+Udu8qBrWwh0FgEsJt3fB5+2PwiRMhL/EJDMYeg0e07PnXNJrOYrt8QcJstNWPdTJYnwNDA+0C
gqaAf/J4Nv4cnKu2zLL/cmC2Tbv+xScP45vxUQXPP+9FBGwMHPD2p5fhfjgbY0ap9z9nVq50ZKRo
Oak8FECIDmXgsu9j6W1ZANE0E2oR8l0rnHVKMi+Ewy9TEUBU61RnQi1Cq/4Ln78sgKjWq8+EWoSW
r0Er6edwuusIBMeL5GV8xB33RUY28+tv11Bsfvsj+JxNZMpF8D8gu9BfhvF3MF94SxZM+Kdx/MMP
4Xs5Tb48FxEi1+9Db5tkZpksxDvfgo+A6WfXYdR52wzTz7LLZuHJ9stwZCdYSw7B+B88cSxRqY/U
x7CYsQ9thwRJh8ETt9qizZJn0vCScdgVjfrfZZ7LQ0z+zg3uitEhySvs3x2K108SkZgtwsP/zNF+
UxLyspMR7hMGvxdZQOJtdK/jCDcm/KUcPoXlPorXkYkH5x8jgXkfkg/sR90+92WBo8jzQG46/HYc
xy9fHIQHe2bSyyvo2MIXQRK2Lg0XJ2/HR7MfM8umYM8vxhjmlQEIgjG0wX7Ys88zMxKW5tEqG+ia
RNNZbDoJIEVlZ8k+lwxdBBB2F8wV22s4FS1fP9j+E0Ixa/5dCiDVCAUQUkaZiHDxxYgRvjgUzvzy
z+bDb4M9Phxc0jwgzzXuWlFuLwm7h8XD3bDENZ7ZEGQh8dIFdPvAFS7MBqXYANJK2/41P3+NAaSE
3UPKy5SVxG0ITPrZyQ9qzUTW80ikRkdCwM+ExHYTrvuuxa6GPXAvRlAYQEyUAkiuAC/nNsOW8WcL
+uQivnEB7XjG+HVxEB4lC5HDlGXYXsY2X6a84QHYx2QidgcbQLIQucYcvJ98sXqqJ9YdZwIDs5G/
anUNZy/54ntFANm2Fx9PDEV4SDTsR+3E/zY9Q+ahg6jbMxBpSo+bI1YEK6UAolSPzDBM7H0WD8WZ
CNx2CJ0aG6LJoEBEiAvbiE8cQZ9Vz5nnrP48BJevw+rUYyzupAggfkoBhHl/A0+geZ0juMyE2RT7
A2j8VzAy+Pcxvp4hftuTgqyL12Dlr9sRraqmuLPYtAsgmi9DkBcbBTeH23A8IGPzpwW+nh8I5wsJ
WgaQsvevXQCp3MsoEBkKIKRsEgIx6icPrFrjjV5/eODfb03RZtRNhMgn4ip+BESscddKYfsU2I20
xdgV5zC9pzPGjrdBk9busA2SDbkXPwKiZf8lPH/NIyCadw8pL1NWWXu6w7C3rTyAiCC5sxS7mo1F
ZDJzmwkgN+Iyke7YD6ZtlxYTQDKR+F8LbB5T8nU2xI9DsbbvNvA+OIKjL7OkAcQiToQXjk5o3PYM
vIzYAJIB515bMNA9A3kxV9HxnUNwO3MNO3z5EN48iU5/3oOA+QJnA0jzUbdx6dR9bBm2Gz/OCWX6
cwCv3x3Z7hQ1XAEkCzEOp2F0qXD3CrKTcbj/dgx0k+8SyX4Fp6WX4ZfGhI1LRZ4H/zGstocjMT0W
izq64lBqlloAyQ0+jZZve+AsE0DAv4t+jV2w/8BlbN/lgWb/uwr37ddwQ/kg4xqn+IM4tQogJVyG
ICf8Fv5qugmterlj/FhPjPjMEO90dcdksyjtAkg5+tcqgFTyZRSIDAUQUia5D+7C+apsY5BgfgRj
XRKYjaUIsRHaXoyuBJLncHWMlm2AX1zDxDH3EMVsLHKjExDLbERKvQumiJKef5l2wehQXoQp7JsP
Rehredlrczh8tQQvxcyvd2kAYUcQkhE7uwXc7TgCiCQUfu3eg/tR2bEb3LLw0vchbrEb4dw0nByz
Db33CeQBhD2TRoArs3eA99Zh2KcJcf4fcwx2Z94z0RPM+dIRZvanMXGcJ8YPsACv4X5svSxkAkjh
Lpi86Ev4hncIuaEX0fHjozhbMEtsFtISUqWjLVwBhH81EJbHXjH3BdIzMhTPV+jijeme7P8sFdft
ruFENPMc09MQ5KL6PEycb2PZBE9MGOuMz3gm6GHyuEgAESHScBcaDg+RH8cigPsgI7zT5yoeCaIw
pbEhftr0pMbufmFpOohTOYDkXLuA37/ajblHBKoBRJvLEIhf48yOczA48ARPDJ0w7JhsfVMOIMr9
qwSQcvSvHECU+1cJIJV8GQUiQwGElInk5im0r2OKpk22oskbhniz8VY0bWwMXq8AaX25A4goFv+1
24ImTP9NmQ0C7w32sUzRgOeAXcxGqbwBpKTnX9kBhL3aMN9lBg6NWYfgC3546jId+5kNLD/9MaIm
9YTH4TDZBlISAs99TABJj0DYqAYw/GU17jnYwH/2MBw1vVJ4QCanLMQYu6Lnf7dw6kIwNk3wwcFH
iTgzyQGjDicgg20jeQnL3u7Yz/w6zA2+imFDzsPD+Qwmb4iSH2vBlN+R7/pIfwXvsVvxTrfzsHcM
xOYx9uizIZppk4EHFofwc78TMNt/Fy4O93AmOF32HPgvsa+vIRqMfIBY5v/KP3kMn/CM8GlrS7Rq
fgDGQbHY2N8Bc0xuwMo6FJHiVJyebgZePXPmM2COD3pcRoR86LzgecifFyRPsUS6C0aAWA93vMfb
h/X77sBuiw9GjvUruIIzK9PLEwM2JjChJxM35jpiXg3f/aLpIE5pAPk9AZbDbNG7gyUafboNg81T
ZQFk9ZNSX4ZAcPcu1vW2w/QLsvdUGkAGqvcvDSCby9+/NICMUO9fGkDMY6rEZRSIDAUQUia5YRE4
HZwKQWp6IX4yTvlESes/+SQP/frmYcTwXCaMhGP4sFwM+TMX79bXdgTkJS6efA6+cv+paYg/8RDX
mF/s7zNBZuAfudL+v20fLv07cGAumjTWcgSkhOf/XoN86a809nmzz5/tv3+/PHz0YUUFEDlJEgTh
D5D0rMgxEkpyyvHLLVeYAbEwBdHhiUgVc7eBSFi4oUpLQlRMWoln13DJS09GdEQi0op7nGKI418x
v871HAiyM5ChOCaACSwFIabGKnIQJ0t+EKdsBCQFNkMtUJe3BZ93sMNc9zRZAFkXW67LELDzgMhG
QPhq/S9jA4hx+fpnyUZA1PuXBhCrJ1XiMgpEhgII0YsTxyXwdM/GUY9s1K0zDq7OAultryO6OQrd
+4gER5j+2D55vJHMbYn09nEv3fTv6S7r75BrBuq8M1Z6m+XrozqqQ0hNwgYE2QjIcyzvbIaPfzqN
W0xgzGHIdsFkazULsKZ5QGQjIHFq/UsDyDbt+i8O+/xlIyDq/ct2wUgqfBZjUjwKIETvGrz7LjLS
ZMcp6AOPx9PZ7J9FZQpSUL9ePc46QqorTQFB40Goa6O1mwW4rPOAGGvXv6bnr/EgVMuoCp/FmBSP
AgjROwoglST9CowHfocW9Rqg87or0rNQ8uL9sbX/N5h6XH4MBimZOBAmfdri8y+6YtVFTfOqVCMa
AoIsgKRj54+GeO/bPfi+ky0W+whlAWT963LPAiwLIGlq/UsDiKmW/Wt4/rIAot6/NIDsTKRZjKsQ
CiBE7/QVQKIi4/Hmm+ww6kYmJGzhbFNe1TmASO6dgd8LEZC4D93r9JZO6sWe8XHxn08xxLOGbEgr
QMrhRVjuw076xl1f0yhGQCTX/GDKbLgV5bJdMDnlmAVYNtGfYgSkaP+yXTDl718xAlK0f9kumOwK
mcWYaIcCCNE7fQWQN95gw0ehRo1MONuVR43YBZN5HBN7r8BDMXtfgCvj21AA0ZoAgduGoFNjHpoM
slGZCK3mkW3ANe6CKW4mVLVZgIuf6E/jLpjiZkItRf8ad8EUNxOqLmcxJlqjAEL0rsG7s9C0qSne
b7pVp5TDhwJXu/Joyqhffzbn6yoLj5HDkZUsm2ukYogQ47AERpcUAZACSJlkx+Jw/w8w0K2mvG/F
b8A1BpD1T7WbBVjDRH8aA4hJ+fvXGECsteyfVAgKIETvMtLSkZyYqnOqIyAb0aSJKWe78soUKM3I
WU6Wn32G1OgIzjp94F/dA8tjEcjL5csn9aIAUlZClymYXlPeNw0bcM0jIJlazQKsaaI/zSMg5e9f
8wiIUO+zGBPtUQAh1VbCi2S8/fYmfMCbp5fdL6r4SD06G7Ydx+LazrXwmWKMp9KD1oSIN+qNnW2+
gP2KcxDcNIbrR21xzC1SOldG/gtfnOk7FLeDU6T9VGQA4Z+cxvyyq4dPW7dGq+bdYRwmAlKDYdmF
h/YGsmvelBWfeZ1/MK9zMvM62TlC8pjXuZh5nbuZ1ykMsofB6u3Y7+oKZ5NJGLLihHRCtOLKufov
IAqFh+FKmNk5wPWAGZb/PQ/uiTG4bjUS3/feiofSmU2TEHVwKsasOI6bnnPwU7th2GJvjz2GszF9
raf0+iacfWtDdAMb+/fEHBMrWFkfRWQN2QWjaQNepl0wRWia6K9Mu2CK0NR/mXbBkEpBAYRUe4Z6
PAtGWX6sAWxaLUaiJA2RI9+C+Yr7yEtyxdmlx5Q2pMkI//Mt7Nz8CPnsfb4rzq89J592vOJHQPQn
GV7M6/yaeZ3SCdKY17mSeZ1i/jFM/m6Y0pVvw7F/91FkFlde0B8XPq7M74LRLnEFk7AJ/b1xnj2w
Ns0Kw995Gx9PPSW9yF1e1FYYs9fEebkFHVvMl0+5noiLkz/ER7MvlBx0NBDHh+NJou5GwaoCTRtw
NoB0+y2PCfhsyBfjZZxI+nfpkhxpCOHqryhNE/2xAaR/v1y1/ufMysE20/L3zwaQoX+p9z/p31zs
3kUBpCqhAEKqvQoLIM82ygKIKAa3uvJgZx6FnABTuHVsDMPGf+BumOwXZW7ALGxtMgPPRSII9izF
taDCI+wtWrXC08sXkPI4XKOo0CeIefyq1MJCo5m/4TrxNCay4HlzkTCvsynzOgOZ1/mSeZ2mzOvM
PDQYdXvaFLnyrbDYcsVtTukH8fd7feEg3zefy4/FUya8PX2eyASQ3TA29YHBNw3R0y4Skujt8gBi
pBRAmOcYOAfN64yTXvVWpW8lz5/q7j0LD41i/qr+T1Jf6/4A7PLStAG/cU2CBg3y8dGH+WjcWIR3
302X387HimXaBQRNzpySSGcaZvts1CgTDd4VyG/nY9PG8vfvcZjp/z1Z/w0bCpnHypDfzoelefn7
J7pDAYRUexUZQHa9NxA37HfghqM/hAWX72aCxsF+2P7HQdlIR3YErrV7G4fdb+D6MgukKl3m27hB
AyaEtIRVmzbF2tjqO7R43xCft7EstWbvr0Sb1m2Y2+XX7puvkRgfV/Dc1TCv05R5nX8xr3Mb8zqf
Mq8zw/Z38PrtV7vybXHlGvF34XdeLxyQB5C89ECs/LoZJhx/JQsgO54g99l+DGjUFis9N3MGkNzg
xWj59mjZVW+V+5Z79fIZvmzblvP1lxb7vr///mrmduH/49eP5mJLpz6cj10dOOy3w5RJEznrdGGX
tQXznT2Hs04XtpoYYeXypZx1pPJRACHVXoWPgMg3birSHeEz1b1gV0uWS28Y1v8SJ51eqrSrObtg
ZFKZ18ljXuds+evMDd2Ajh//q3TlWxHSEl5CXEy54v3iJHkIs67NMfxwvLwsHju7f4nlt4QFAYTd
NZNxcRZa8t7CcPaqwCoBJBORht+g4XBP+VVvK16kzzEcHPQHZ111QAGE6BMFEFLtVUwA4SPFfTiM
eH1wN1QxKZUALzf0g9MsI9y2sEBEuNIuhcwLOP7dCEQUucBVTQsg7OucxrzOEwWvMwUPLP7Cz/3m
wGy/A1wcHHEmOElDuVJfHHIiHTH7z9HY6HIWNy47Ytav/bErgo9nvhPRe6QrotPYdpmIMO+FMfue
IdZjBN7j/Yz1++xht2UWRo41VrnqbUWjAKIZBZDajQIIqfYqagSEU2YcUqJecl4dNjtNduaLshoX
QBiZHK8zL/0poiMeq135trhyzTIhiAtFeFgMBFyjT1ICiMtztoueUADRjAJI7UYBhFQrr4LuIvSQ
iwo2gIQcdFYpi799k3P5ylYTAwgpXnUPILtt9mL0yCmcdbqwfet2TJk8nbNOFwzWb2G2Ccs460jl
owBCqpVbOy1xZPQoFXs7dlQru7NnF+fylY19/pJ0PmcdqXmqewAxMTqJfn2tOOt0Yc0qZwz9y5Cz
ThcWzj+E8WP3cdaRykcBhBBC9KS6BxCH/bcxZZIXZ50u7LIOkH5nc9XpwlYTP6xcfoazjlQ+CiCk
ihMg2c0AF7ftQbDzPtxc+hdOH36J9Kvm8OzUCwHBsjk2xBHO8Bm1DJHX3XDqx2/gsckWQTYG8J26
Go+ia9YkUqT6oACiGQWQ2o0CCKnSJBfnwX6UY+GcG+lXEHnmKfJzk3F72DvY/NEUxPCZ8uxHCNiy
D5LcZ7jWoQXO3JUFk6xzk2D24Sw8pes8kEpAAUQzCiC1GwUQUoXx8XDoe3DZLz9dU5IIQUwEUmNi
kSVJxt0txoha/zVMft+DVFEEbnAEEIgCcKpZHXhdSFfqlxDdY8/EurppI84uWVTA7e+h0snllMtY
7MHUXH1UNRRAiD5RACFVWCLu9ODByV4eQLL5iF/+FbaN80ZWNhtAtkMgicGD/o1gvdwd/lwBRHIf
51q8jSOnKIAQ/bu71wYB27cW8DfajNML5quU3TDbVm3OhKIAQvSJAgipwjLB39YF24e5QiQvE1l1
w86lN5CXKw8g7K6ZtHPwbcHDpmF71AJIXrgBbN77G4/k03kTQrRHAYToEwUQUrWJwxA8cxCObHDE
k2sXEDzjF7haP4TkiQ+O9RqO0EjZhb7ywszgNGovMqLd4NmAh/1r9yJo7yb4jvgH1/1fqfdLiC6I
QvHQcCUC7BwQfMAM5/6eh9DEGDyzGom9vbfKp+1PAv/gVHiuOIvk8KPV6iBpCiBEnyiAEEJImfAR
O78LPF3ikC8vk/h7I/qFCEizgvs7b8Ns6ilkMeX5UVvhz14sr5odJE0BhOgTBRBCCCmL9INwe68v
7iuu1suPlR7bkfo8UXqxPH9TH1z+piEc7SKRG72dM4BU9YOkKYAQfaIAQgghZcHfBQder4IAkp8e
iPNfN4PX8VeyAMJerffZfrg0aovznpu5A0gVP0iaAgjRJwoghBBSFpKHCOjaHO6H4+Vl8Qjs/iXO
3RIWBBB214zk4iyY896Cu516AKnqB0lTACH6RAGEEELKKC/SESf/HI0rLmcRd9kRJ37tj1sRfKT5
ToTTSFfw09h2mUgy7wXPfcmQVLODpPUZQKZOPgoez1BqwTz9hBAKIFUbBRBCCCmXTIjjQvE6LAZi
6VkvXATIEXGVV236CiD7990qCB8Knm73OduWBwWQqo0CCCGEEE5sAGHDQb26W3TqzTdVwwfrjTd0
/zhsvxRAqi4KIIQQQjjlSkQQZWTo3BH3IKXwsVH69/TJMM625ZUjFnG+NlL5KIAQQgipcNu2+qHx
G8vQ/I2F2LUzgLMNqdkogBBCCKkUt6wtcGruHM46UvNRACGEEFIpKIDUbhRACCGEVAoKILUbBRBC
CCGVggJI7UYBhBCikSTaE76dO8DLxBKXp07G9SsJ0vK8O5vh/GUbWP+8DLFx13F9wEfYOfEgUtn5
LrKfInphH7jv0v3cDqTmoABSu1EAIYRolh0Fv3atcC44Ezk+w7Gp5TIkShIQumQJIvmF7SSeg7Dp
K0OkZLP3ExG6fDViMwvrCSmKAkjtRgGEEKJZdgyuSgOIAOk7u8DwRzOkCf0RMLQDTHmNcXBvCPLY
diJ/+DZpgpMBAuTH7cJ547uyckIYeWIhQlydcN/etsDRcf/AqXdPlbL7++2QxU/k7IPULBRACCGa
sQHkm/dwaIctbprtx/OEwomd8p87w+WDAXgoZO+LkGr8DTYPOYSXpv/hVgxNAEUKSdL5ODF9Go5P
nlTA/e+hcB3QX6XMZ+oUpMZEcvZBahYKIIQQzQpGQOSXkFfBRzCzwQiXBhBGsiOcefWxc+YBZKq1
JYSQQhRACCEaSR4fhkd9Hpz3PkCWvCz31jq49JqJa7t24JZ3qNKulnQ8nfItPI+lFCxPajFRKB4a
rkSAnQOCD5jh3N/z8CD8MgJHdYTT9vuyz03qQ4RMG4kLF0KR6j0Hdu2G4aq9PW4bzobPWk/ZQc1F
+yU1AgUQQkgZpEP4+BEyuTYOgmRkFy0jtRAfsfO7wNMlDvnyMom/N6JfiCDZNRSb3/4IPmfZYz1E
SNm+CUFpTJuXW7CnxXwkSK8qnIiYyR/CbPYF5Kj0S2oKCiCEEK0FbDPFyVkzCxyfMhnOffqolLGi
z/hyLk9qkfSDcHuvL+6nyu7n8WORGh2B1OeJkNhuwnXftdjVsAfuxQiQukMRQIyUAogYuYFzsK3O
ODyhs6lqJAoghBCtRXgfxW0b6wKX1q3Gjo8/UiljvQ59wLk8qUX4u+DA61UQQPLTA3H+62bwOv5K
GkBuxGUi3bEfTNsuRbgRdwDJC14M87dHI4oCSI1EAYQQUmaJwfdh074dZx2p5SQPEdC1OdwPx8vL
4hHY/UucuyWUBxD2LKlkxM5uAcO3huKeWgDJRLLhNzAZ7glx0b5JjUABhBBSZhRAiCZ5kY44+edo
XHE5i7jLjjjxa3/cCo5A1KSe8DgcBgnbThKCm71HMgGEj1SPETDm/YxL++xxd8sseIw1Rlyyer+k
ZqAAQggpMwogpGSZEMeF4nVYDMTyXStqROnI5SonNRoFEEJImVEAIYSUFQUQQkiZUQAhhJQVBRBC
SJlRACGElBUFEEJImRxyuQ8ez5CxEa0/s+BsQwghxaEAQggptVxJpjx8FOrV8wDyc7KqFK7nTgip
CsT4P4vgolZ3jm6kAAAAAElFTkSuQmCC
"
id="image270"
x="0"
y="0" />
</svg>
Conv-ttl-rs485 hardware review
Present:
=========
- Dimitris Lampridis
- Eva Gousiou
- Tristan Gingold
- Denia Bouhired
- Additional comments, post-review,from Erik Van der Bij
General:
=========
DL: When opened on newer Altium (17), some labels are upside down
Action: In newer versions of Altium, go to DXP menue select Preferences>Schematic>Graphical Editing
Uncheck "Display strings as rotated". This box is somehow checked on new versions of Altium.
DL: 4k7 pull up resistors are used throughout. These may be too strong (10k would be enough)
Action: DONE. Most of the resistors have been changed to 10k.
DL: Manually added wire junctions (in red) on schematics, in places where it is not needed.
Action: DONE
CONV-TTL-RS485 motherboard
===========================
DL: Sheet 2: why use B prefix for test points. Why not TP?
Action: The actual schematic components are called "Black bead PCB ..." see BOM.
DL: Sheet 3, FPGA bank - tidy up and align ports
Action: DONE
DL: Sheet 3, Suggestion: Share pads for pull-up and pull-down resistors in
hw version number, in order to make sure only one is soldered
Action: YES. This will be discussed with DEM for the best way for this to be implemented during layout
DL: Sheet 5 VME P1 connector uses thicker loop back jumper-like wired. Why not a normal wire
Action: DONE. A priori no reason for this. They have now been replaced by regular thickness wires.
DL: Sheet 7, 9 Use hierarchical design and multichannel for front ttl: less error prone,
good for scalability.
Sheet 9 Use multi channel, put buffers inside channel/investigate how to handle power of the buffer.
Action: As far as I understand, to handle the multi-channel design, I have to
use buses (to connect separate channels).This would involve having net and
port names that end with a numeral. In this case net names have channel numbers
in the middle of the name, not on the end (Eg: RS485_RX_1_P or RS485_RX_1_P).
It is concluded therefore that the operation would take a lot of signal relabeling
on multiple sheets, which could outweight the benefits of adding the multi-channel feature.
DL: Sheet 7 Different GND symbols used, try to unify
Action: DONE
DL: Investigate working with hidden pins for power symbols, for e.g. in sn74lvc14ad buffers.
Action: This is indeed possible. The connection to the power rail is set up
in a dialogue box. I am not sure however this is very useful for this schematic.
The power pins are not that cumbersome. Keeping them connected explicitly will avoid
(review) questions and suspicion of human error.
DL: Sheet 7 use NO ERC for unconnected pins in IC9
Action: DONE
DL: Are LED inverters the only way to resolve checking for power up phase.
Check if they are pulled down, or whether buffers do the job buffers output high.
Actions:It seems like these I/O pins will be floating during configuration.
The inverting schmidt trigger data sheet specifies that floating inputs should
be tied to a level. Will add pull-down resistors for LEDs. DONE.
EG: Check masterfip led pullup design, check whether currents are similar on both LED types
Action: This would make the circuit considerably simpler and would use the fewer components.
However, it has been decided to keep the same circuit as used on the conv-ttl-blo board.
DL: Sheet 8 Bicolor led current too high with 50ohm resistors, if LEDs are driven for too long.
Actions: The current circuit and vhdl core are being used successfully on SVEC, conv-ttl-blo
and conv-ttl-rs485 (prototype). There is no guarantee that the circuit
would work with a different core, nore should this possibility be built-in to the design.
A yellow note was added on the schematics as a disclaimer.
EG: Sheet 8 Boxes surrounding bicolor LEDs are dashed red lines like "Not Mounted" boxes.
Could be misleading.
Action: DONE. Colour has been changed
DL: Sheet 7: Move ESD strips to power supply sheet
Move Fiduciaries to top level
Actions: Makes sense. DONE.
EG: Sheet 9 ohwr licence partly hidden. Enlarge box.
Action: DONE
DL: Sheet 10, mirror schematics so that signal flow is from left to right.
Action: DONE
RTM in DB9
===========
EV: Numeral suffixes for output ports, rather than alphabetical (Eg: OUT_N_2_P instead of OUT_N_B_P)
Action: DONE
DL : Sheet 2 Remove Manual junction
Action: DONE
DL: Sheet 3 use hierarchical design
Action: DONE
EG : Sheet 1 Top level sheet doesn’t have the word "top"
Action: DONE
EG : Sheet 3 OHL licence present twice in last sheet
Action: DONE
EG: In Wiki db9 mockup name output ports A, B, C, D, E
Action: DONE (now 1,2,3,4,5)
\ No newline at end of file
\relax
\providecommand\hyper@newdestlabel[2]{}
\providecommand\HyperFirstAtBeginDocument{\AtBeginDocument}
\HyperFirstAtBeginDocument{\ifx\hyper@anchor\@undefined
\global\let\oldcontentsline\contentsline
\gdef\contentsline#1#2#3#4{\oldcontentsline{#1}{#2}{#3}}
\global\let\oldnewlabel\newlabel
\gdef\newlabel#1#2{\newlabelxx{#1}#2}
\gdef\newlabelxx#1#2#3#4#5#6{\oldnewlabel{#1}{{#2}{#3}}}
\AtEndDocument{\ifx\hyper@anchor\@undefined
\let\contentsline\oldcontentsline
\let\newlabel\oldnewlabel
\fi}
\fi}
\global\let\hyper@last\relax
\gdef\HyperFirstAtBeginDocument#1{#1}
\providecommand\HyField@AuxAddToFields[1]{}
\providecommand\HyField@AuxAddToCoFields[2]{}
\@input{cern-title.aux}
\@writefile{toc}{\contentsline {section}{Licensing information}{1}{Doc-Start}}
\@writefile{toc}{\contentsline {section}{Revision history}{1}{section*.2}}
\@writefile{toc}{\contentsline {section}{List of abbreviations}{4}{section*.6}}
\citation{white-rabbit}
\@writefile{toc}{\contentsline {section}{\numberline {1}Introduction}{5}{section.1}}
\newlabel{sec:intro}{{1}{5}{Introduction}{section.1}{}}
\@writefile{lof}{\contentsline {figure}{\numberline {1}{\ignorespaces TTL to RS485 pulse conversion system}}{5}{figure.1}}
\newlabel{fig:conv-sys-bd}{{1}{5}{TTL to RS485 pulse conversion system}{figure.1}{}}
\citation{ctrs-proj}
\citation{sch}
\citation{rtmp-sch-db9}
\citation{ctrs-ug}
\citation{ctrs-hdlguide}
\@writefile{toc}{\contentsline {subsection}{\numberline {1.1}Additional documentation}{6}{subsection.1.1}}
\citation{sch}
\citation{svec}
\@writefile{toc}{\contentsline {section}{\numberline {2}Front module}{7}{section.2}}
\newlabel{sec:ctrs}{{2}{7}{Front module}{section.2}{}}
\@writefile{lof}{\contentsline {figure}{\numberline {2}{\ignorespaces Block diagram of CONV-TTL-RS485 board}}{7}{figure.2}}
\newlabel{fig:conv-rs485-sys-bd}{{2}{7}{Block diagram of CONV-TTL-RS485 board}{figure.2}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.1}VME connector}{7}{subsection.2.1}}
\newlabel{sec:vme}{{2.1}{7}{VME connector}{subsection.2.1}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.2}Power supplies}{8}{subsection.2.2}}
\newlabel{sec:ctrs-power}{{2.2}{8}{Power supplies}{subsection.2.2}{}}
\@writefile{lot}{\contentsline {table}{\numberline {1}{\ignorespaces Voltage levels on CONV-TTL-RS485}}{8}{table.1}}
\newlabel{tbl:voltage-levels}{{1}{8}{Voltage levels on CONV-TTL-RS485}{table.1}{}}
\citation{spec}
\citation{ctrs-hdlguide}
\citation{ctrs-ug}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.3}Clock circuits}{9}{subsection.2.3}}
\newlabel{sec:clocks}{{2.3}{9}{Clock circuits}{subsection.2.3}{}}
\@writefile{lot}{\contentsline {table}{\numberline {2}{\ignorespaces Clocks on CONV-TTL-RS485}}{9}{table.2}}
\newlabel{tbl:clocks}{{2}{9}{Clocks on CONV-TTL-RS485}{table.2}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.4}FPGA}{9}{subsection.2.4}}
\newlabel{sec:fpga}{{2.4}{9}{FPGA}{subsection.2.4}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.5}TTL pulse repetition}{10}{subsection.2.5}}
\newlabel{sec:ttl}{{2.5}{10}{TTL pulse repetition}{subsection.2.5}{}}
\@writefile{toc}{\contentsline {subsubsection}{\numberline {2.5.1}TTL input stage}{10}{subsubsection.2.5.1}}
\@writefile{lof}{\contentsline {figure}{\numberline {3}{\ignorespaces TTL pulse input stage}}{10}{figure.3}}
\newlabel{fig:ttl-inp}{{3}{10}{TTL pulse input stage}{figure.3}{}}
\@writefile{toc}{\contentsline {subsubsection}{\numberline {2.5.2}TTL output stage}{10}{subsubsection.2.5.2}}
\@writefile{lof}{\contentsline {figure}{\numberline {4}{\ignorespaces TTL pulse output stage}}{11}{figure.4}}
\newlabel{fig:ttl-out}{{4}{11}{TTL pulse output stage}{figure.4}{}}
\@writefile{lof}{\contentsline {figure}{\numberline {5}{\ignorespaces TTL pulse output enable signals}}{11}{figure.5}}
\newlabel{fig:ttl-out-en}{{5}{11}{TTL pulse output enable signals}{figure.5}{}}
\citation{sn65hvd3088ed}
\citation{comp-rs485-trans}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.6}RS485 pulse repetition}{12}{subsection.2.6}}
\newlabel{sec:rs485}{{2.6}{12}{RS485 pulse repetition}{subsection.2.6}{}}
\@writefile{toc}{\contentsline {subsubsection}{\numberline {2.6.1}RS485 input stage}{12}{subsubsection.2.6.1}}
\newlabel{sec:rs485-inp}{{2.6.1}{12}{RS485 input stage}{subsubsection.2.6.1}{}}
\@writefile{lof}{\contentsline {figure}{\numberline {6}{\ignorespaces RS485 pulse input stage}}{12}{figure.6}}
\newlabel{fig:rs485-inp}{{6}{12}{RS485 pulse input stage}{figure.6}{}}
\citation{sn65hvd3088ed}
\citation{ti-sig-loss}
\citation{ctrs-ug}
\citation{ctrs-ug}
\@writefile{toc}{\contentsline {subsubsection}{\numberline {2.6.2}RS485 output stage}{13}{subsubsection.2.6.2}}
\newlabel{sec:rs485-out}{{2.6.2}{13}{RS485 output stage}{subsubsection.2.6.2}{}}
\@writefile{lof}{\contentsline {figure}{\numberline {7}{\ignorespaces RS485 pulse output stage}}{13}{figure.7}}
\newlabel{fig:rs485-out}{{7}{13}{RS485 pulse output stage}{figure.7}{}}
\citation{rtmdet}
\citation{svec}
\citation{ctrs-hdlguide}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.7}SFP connector}{15}{subsection.2.7}}
\newlabel{sec:sfp}{{2.7}{15}{SFP connector}{subsection.2.7}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.8}Thermometer and flash chip}{15}{subsection.2.8}}
\newlabel{sec:thermo-flash}{{2.8}{15}{Thermometer and flash chip}{subsection.2.8}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.9}RTM detection}{15}{subsection.2.9}}
\newlabel{sec:rmtdet}{{2.9}{15}{RTM detection}{subsection.2.9}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.10}Status and pulse LEDs}{15}{subsection.2.10}}
\newlabel{sec:leds}{{2.10}{15}{Status and pulse LEDs}{subsection.2.10}{}}
\@writefile{lof}{\contentsline {figure}{\numberline {8}{\ignorespaces RTM detection circuit}}{16}{figure.8}}
\newlabel{fig:rtmdet}{{8}{16}{RTM detection circuit}{figure.8}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {2.11}PCB version}{16}{subsection.2.11}}
\newlabel{sec:diag-pcbvers}{{2.11}{16}{PCB version}{subsection.2.11}{}}
\citation{rtmm-sch}
\citation{(}
\citation{crosstalk}
\citation{(}
\@writefile{lof}{\contentsline {figure}{\numberline {9}{\ignorespaces Hard-wired PCB versioning}}{17}{figure.9}}
\newlabel{fig:pcb-version}{{9}{17}{Hard-wired PCB versioning}{figure.9}{}}
\@writefile{toc}{\contentsline {section}{\numberline {3}Rear-Transition Module}{17}{section.3}}
\newlabel{sec:rtm}{{3}{17}{Rear-Transition Module}{section.3}{}}
\@writefile{toc}{\contentsline {subsection}{\numberline {3.1}RTM Motherboard}{17}{subsection.3.1}}
\@writefile{lof}{\contentsline {figure}{\numberline {10}{\ignorespaces Capacitors straddled on the TVS diodes on some RTMM cards}}{18}{figure.10}}
\newlabel{fig:straddled}{{10}{18}{Capacitors straddled on the TVS diodes on some RTMM cards}{figure.10}{}}
\citation{rtmp-sch-db9}
\citation{rtmp-sch-lemo}
\@writefile{toc}{\contentsline {subsection}{\numberline {3.2}RTM Piggyback}{19}{subsection.3.2}}
\bibstyle{ieeetr}
\bibdata{hwg-conv-ttl-rs485}
\bibcite{white-rabbit}{1}
\bibcite{ctrs-proj}{2}
\bibcite{sch}{3}
\bibcite{rtmp-sch-db9}{4}
\bibcite{ctrs-ug}{5}
\bibcite{ctrs-hdlguide}{6}
\bibcite{svec}{7}
\bibcite{spec}{8}
\bibcite{sn65hvd3088ed}{9}
\bibcite{comp-rs485-trans}{10}
\bibcite{ti-sig-loss}{11}
\bibcite{rtmdet}{12}
\bibcite{rtmm-sch}{13}
\bibcite{crosstalk}{14}
\bibcite{rtmp-sch-lemo}{15}
\@writefile{toc}{\contentsline {section}{References}{20}{section*.7}}
\begin{thebibliography}{10}
\bibitem{white-rabbit}
``{White Rabbit}.'' \url{http://www.ohwr.org/projects/white-rabbit}.
\bibitem{ctrs-proj}
``{Conv TTL RS485 Project Page on OHWR}.''
\url{http://www.ohwr.org/projects/conv-ttl-rs485}.
\bibitem{sch}
``{CONV-TTL-RS485 Schematics}.'' \url{https://edms.cern.ch/project/EDA-02541}.
\bibitem{rtmp-sch-db9}
``{D-Sub9 RTM Piggyback Schematics}.''
\url{https://edms.cern.ch/item/EDA-03712-V1-0/0}.
\bibitem{ctrs-ug}
C.~BE-CO-HT, ``{CONV-TTL-RS485 User Guide}.''
\url{http://www.ohwr.org/documents/?}, 12 2017.
\bibitem{ctrs-hdlguide}
C.~BE-CO-HT, ``{CONV-TTL-RS485 HDL Guide}.''
\url{http://www.ohwr.org/documents/?}, 12 2017.
\bibitem{svec}
``{Simple VME FMC Carrier (SVEC)}.'' \url{http://www.ohwr.org/projects/svec}.
\bibitem{spec}
``{Simple PCIE FMC Carrier (SPEC)}.'' \url{http://www.ohwr.org/projects/spec}.
\bibitem{sn65hvd3088ed}
``{SNx5HVD308xE Low-Power RS-485 Transceivers Datasheet}.''
\url{http://www.ti.com/lit/ds/symlink/sn65hvd3085e.pdf}.
\bibitem{comp-rs485-trans}
``{Comparison of RS-485 transceivers}.''
\url{https://www.ohwr.org/projects/conv-ttl-rs485/wiki/rs485transceivers}.
\bibitem{ti-sig-loss}
``{SDetection of RS-485 signal loss}.''
\url{http://www.ti.com/lit/an/slyt257/slyt257.pdf}.
\bibitem{rtmdet}
``{Rear Transition Module Detection}.''
\url{http://www.ohwr.org/projects/conv-ttl-blo/wiki/RTM_board_detection}.
\bibitem{rtmm-sch}
``{RTM Motherboard Schematics}.''
\url{https://edms.cern.ch/file/1318265/1/EDA-02452-V3-0_sch.pdf}.
\bibitem{crosstalk}
T.-A. Stana, ``{Differential-mode interference due to common-mode current from
flyback transformer}.'' \url{http://www.ohwr.org/documents/335}.
\bibitem{rtmp-sch-lemo}
``{LEMO 0S RTM Piggyback Schematics}.''
\url{https://edms.cern.ch/file/1405205/1/EDA-02453-V2-0_sch.pdf}.
\end{thebibliography}
@misc{ctrs-ug,
author = "CERN BE-CO-HT",
title = {{CONV-TTL-RS485 User Guide}},
day = 25,
month = 12,
year = 2017,
howpublished = {\url{http://www.ohwr.org/documents/?}}
}
@misc{ctrs-hdlguide,
author = "CERN BE-CO-HT",
title = {{CONV-TTL-RS485 HDL Guide}},
month = 12,
year = 2017,
howpublished = {\url{http://www.ohwr.org/documents/?}}
}
@misc{sysmon-i2c,
author = "{ELMA}",
title = {{Access to board data using SNMP and I2C}},
howpublished = {\url{http://www.ohwr.org/attachments/download/2324/ELMA_SNMP_specification.pdf}}
}
@misc{white-rabbit,
title = {{White Rabbit}},
howpublished = {\url{http://www.ohwr.org/projects/white-rabbit}}
}
@misc{sch,
title = {{CONV-TTL-RS485 Schematics}},
howpublished = {\url{https://edms.cern.ch/project/EDA-02541}}
}
@misc{rtmm-sch,
title = {{RTM Motherboard Schematics}},
howpublished = {\url{https://edms.cern.ch/file/1318265/1/EDA-02452-V3-0_sch.pdf}}
}
@misc{rtmp-sch-lemo,
title = {{LEMO 0S RTM Piggyback Schematics}},
howpublished = {\url{https://edms.cern.ch/file/1405205/1/EDA-02453-V2-0_sch.pdf}}
}
@misc{rtmp-sch-db9,
title = {{D-Sub9 RTM Piggyback Schematics}},
howpublished = {\url{https://edms.cern.ch/item/EDA-03712-V1-0/0}}
}
@misc{spec,
title = {{Simple PCIE FMC Carrier (SPEC)}},
howpublished = {\url{http://www.ohwr.org/projects/spec}}
}
@misc{svec,
title = {{Simple VME FMC Carrier (SVEC)}},
howpublished = {\url{http://www.ohwr.org/projects/svec}}
}
@misc{crosstalk,
author = "Theodor-Adrian Stana",
title = {{Differential-mode interference due to common-mode current from flyback transformer}},
howpublished = {\url{http://www.ohwr.org/documents/335}}
}
@misc{rtmdet,
title = {{Rear Transition Module Detection}},
howpublished = {\url{http://www.ohwr.org/projects/conv-ttl-blo/wiki/RTM_board_detection}}
}
@misc{ctb-proj,
title = {{Conv TTL Blocking Project Page on OHWR}},
howpublished = {\url{http://www.ohwr.org/projects/conv-ttl-blo}}
}
@misc{ctrs-proj,
title = {{Conv TTL RS485 Project Page on OHWR}},
howpublished = {\url{http://www.ohwr.org/projects/conv-ttl-rs485}}
}
@misc{comp-rs485-trans,
title = {{Comparison of RS-485 transceivers}},
howpublished = {\url{https://www.ohwr.org/projects/conv-ttl-rs485/wiki/rs485transceivers}}
}
@misc{sn65hvd3088ed,
title = {{SNx5HVD308xE Low-Power RS-485 Transceivers Datasheet}},
howpublished = {\url{http://www.ti.com/lit/ds/symlink/sn65hvd3085e.pdf}}
}
@misc{ti-sig-loss,
title = {{SDetection of RS-485 signal loss}},
howpublished = {\url{http://www.ti.com/lit/an/slyt257/slyt257.pdf}}
}
\ No newline at end of file
This is BibTeX, Version 0.99dThe top-level auxiliary file: hwg-conv-ttl-rs485.aux
A level-1 auxiliary file: cern-title.aux
The style file: ieeetr.bst
Database file #1: hwg-conv-ttl-rs485.bib
\contentsline {figure}{\numberline {1}{\ignorespaces TTL to RS485 pulse conversion system}}{5}{figure.1}
\contentsline {figure}{\numberline {2}{\ignorespaces Block diagram of CONV-TTL-RS485 board}}{7}{figure.2}
\contentsline {figure}{\numberline {3}{\ignorespaces TTL pulse input stage}}{10}{figure.3}
\contentsline {figure}{\numberline {4}{\ignorespaces TTL pulse output stage}}{11}{figure.4}
\contentsline {figure}{\numberline {5}{\ignorespaces TTL pulse output enable signals}}{11}{figure.5}
\contentsline {figure}{\numberline {6}{\ignorespaces RS485 pulse input stage}}{12}{figure.6}
\contentsline {figure}{\numberline {7}{\ignorespaces RS485 pulse output stage}}{13}{figure.7}
\contentsline {figure}{\numberline {8}{\ignorespaces RTM detection circuit}}{16}{figure.8}
\contentsline {figure}{\numberline {9}{\ignorespaces Hard-wired PCB versioning}}{17}{figure.9}
\contentsline {figure}{\numberline {10}{\ignorespaces Capacitors straddled on the TVS diodes on some RTMM cards}}{18}{figure.10}
This is pdfTeX, Version 3.14159265-2.6-1.40.17 (MiKTeX 2.9) (preloaded format=pdflatex 2016.7.18) 22 DEC 2017 10:31
entering extended mode
**./hwg-conv-ttl-rs485.tex
(hwg-conv-ttl-rs485.tex
LaTeX2e <2016/03/31> patch level 1
Babel <3.9r> and hyphenation patterns for 75 language(s) loaded.
(C:\Users\debouhir\Documents\MikTex\tex\latex\base\article.cls
Document Class: article 2014/09/29 v1.4h Standard LaTeX document class
(C:\Users\debouhir\Documents\MikTex\tex\latex\base\size11.clo
File: size11.clo 2014/09/29 v1.4h Standard LaTeX file (size option)
)
\c@part=\count79
\c@section=\count80
\c@subsection=\count81
\c@subsubsection=\count82
\c@paragraph=\count83
\c@subparagraph=\count84
\c@figure=\count85
\c@table=\count86
\abovecaptionskip=\skip41
\belowcaptionskip=\skip42
\bibindent=\dimen102
)
(C:\Users\debouhir\Documents\MikTex\tex\latex\graphics\graphicx.sty
Package: graphicx 2014/10/28 v1.0g Enhanced LaTeX Graphics (DPC,SPQR)
(C:\Users\debouhir\Documents\MikTex\tex\latex\graphics\keyval.sty
Package: keyval 2014/10/28 v1.15 key=value parser (DPC)
\KV@toks@=\toks14
)
(C:\Users\debouhir\Documents\MikTex\tex\latex\graphics\graphics.sty
Package: graphics 2016/06/02 v1.0s Standard LaTeX Graphics (DPC,SPQR)
(C:\Users\debouhir\Documents\MikTex\tex\latex\graphics\trig.sty
Package: trig 2016/01/03 v1.10 sin cos tan (DPC)
)
(C:\Users\debouhir\Documents\MikTex\tex\latex\00miktex\graphics.cfg
File: graphics.cfg 2016/06/04 v1.11 sample graphics configuration
)
Package graphics Info: Driver file: pdftex.def on input line 99.
(C:\Users\debouhir\Documents\MikTex\tex\latex\pdftex-def\pdftex.def
File: pdftex.def 2016/06/17 v0.06h Graphics/color for pdfTeX
(C:\Users\debouhir\Documents\MikTex\tex\generic\oberdiek\infwarerr.sty
Package: infwarerr 2016/05/16 v1.4 Providing info/warning/error messages (HO)
)
(C:\Users\debouhir\Documents\MikTex\tex\generic\oberdiek\ltxcmds.sty
Package: ltxcmds 2016/05/16 v1.23 LaTeX kernel commands for general use (HO)
)
\Gread@gobject=\count87
))
\Gin@req@height=\dimen103
\Gin@req@width=\dimen104
)
(C:\Users\debouhir\Documents\MikTex\tex\latex\multirow\multirow.sty
\bigstrutjot=\dimen105
)
(C:\Users\debouhir\Documents\MikTex\tex\latex\amsmath\amsmath.sty
Package: amsmath 2016/03/10 v2.15b AMS math features
\@mathmargin=\skip43
For additional information on amsmath, use the `?' option.
(C:\Users\debouhir\Documents\MikTex\tex\latex\amsmath\amstext.sty
Package: amstext 2000/06/29 v2.01 AMS text
(C:\Users\debouhir\Documents\MikTex\tex\latex\amsmath\amsgen.sty
File: amsgen.sty 1999/11/30 v2.0 generic functions
\@emptytoks=\toks15
\ex@=\dimen106
))
(C:\Users\debouhir\Documents\MikTex\tex\latex\amsmath\amsbsy.sty
Package: amsbsy 1999/11/29 v1.2d Bold Symbols
\pmbraise@=\dimen107
)
(C:\Users\debouhir\Documents\MikTex\tex\latex\amsmath\amsopn.sty
Package: amsopn 2016/03/08 v2.02 operator names
)
\inf@bad=\count88
LaTeX Info: Redefining \frac on input line 199.
\uproot@=\count89
\leftroot@=\count90
LaTeX Info: Redefining \overline on input line 297.
\classnum@=\count91
\DOTSCASE@=\count92
LaTeX Info: Redefining \ldots on input line 394.
LaTeX Info: Redefining \dots on input line 397.
LaTeX Info: Redefining \cdots on input line 518.
\Mathstrutbox@=\box26
\strutbox@=\box27
\big@size=\dimen108
LaTeX Font Info: Redeclaring font encoding OML on input line 634.
LaTeX Font Info: Redeclaring font encoding OMS on input line 635.
\macc@depth=\count93
\c@MaxMatrixCols=\count94
\dotsspace@=\muskip10
\c@parentequation=\count95
\dspbrk@lvl=\count96
\tag@help=\toks16
\row@=\count97
\column@=\count98
\maxfields@=\count99
\andhelp@=\toks17
\eqnshift@=\dimen109
\alignsep@=\dimen110
\tagshift@=\dimen111
\tagwidth@=\dimen112
\totwidth@=\dimen113
\lineht@=\dimen114
\@envbody=\toks18
\multlinegap=\skip44
\multlinetaggap=\skip45
\mathdisplay@stack=\toks19
LaTeX Info: Redefining \[ on input line 2739.
LaTeX Info: Redefining \] on input line 2740.
)
(C:\Users\debouhir\Documents\MikTex\tex\latex\hyperref\hyperref.sty
Package: hyperref 2016/05/21 v6.83p Hypertext links for LaTeX
(C:\Users\debouhir\Documents\MikTex\tex\generic\oberdiek\hobsub-hyperref.sty
Package: hobsub-hyperref 2016/05/16 v1.14 Bundle oberdiek, subset hyperref (HO)
(C:\Users\debouhir\Documents\MikTex\tex\generic\oberdiek\hobsub-generic.sty
Package: hobsub-generic 2016/05/16 v1.14 Bundle oberdiek, subset generic (HO)
Package: hobsub 2016/05/16 v1.14 Construct package bundles (HO)
Package hobsub Info: Skipping package `infwarerr' (already loaded).
Package hobsub Info: Skipping package `ltxcmds' (already loaded).
Package: ifluatex 2016/05/16 v1.4 Provides the ifluatex switch (HO)
Package ifluatex Info: LuaTeX not detected.
Package: ifvtex 2016/05/16 v1.6 Detect VTeX and its facilities (HO)
Package ifvtex Info: VTeX not detected.
Package: intcalc 2016/05/16 v1.2 Expandable calculations with integers (HO)
Package: ifpdf 2016/05/14 v3.1 Provides the ifpdf switch
Package: etexcmds 2016/05/16 v1.6 Avoid name clashes with e-TeX commands (HO)
Package etexcmds Info: Could not find \expanded.
(etexcmds) That can mean that you are not using pdfTeX 1.50 or
(etexcmds) that some package has redefined \expanded.
(etexcmds) In the latter case, load this package earlier.
Package: kvsetkeys 2016/05/16 v1.17 Key value parser (HO)
Package: kvdefinekeys 2016/05/16 v1.4 Define keys (HO)
Package: pdftexcmds 2016/05/21 v0.22 Utility functions of pdfTeX for LuaTeX (HO)
Package pdftexcmds Info: LuaTeX not detected.
Package pdftexcmds Info: \pdf@primitive is available.
Package pdftexcmds Info: \pdf@ifprimitive is available.
Package pdftexcmds Info: \pdfdraftmode found.
Package: pdfescape 2016/05/16 v1.14 Implements pdfTeX's escape features (HO)
Package: bigintcalc 2016/05/16 v1.4 Expandable calculations on big integers (HO)
Package: bitset 2016/05/16 v1.2 Handle bit-vector datatype (HO)
Package: uniquecounter 2016/05/16 v1.3 Provide unlimited unique counter (HO)
)
Package hobsub Info: Skipping package `hobsub' (already loaded).
Package: letltxmacro 2016/05/16 v1.5 Let assignment for LaTeX macros (HO)
Package: hopatch 2016/05/16 v1.3 Wrapper for package hooks (HO)
Package: xcolor-patch 2016/05/16 xcolor patch
Package: atveryend 2016/05/16 v1.9 Hooks at the very end of document (HO)
Package atveryend Info: \enddocument detected (standard20110627).
Package: atbegshi 2016/06/09 v1.18 At begin shipout hook (HO)
Package: refcount 2016/05/16 v3.5 Data extraction from label references (HO)
Package: hycolor 2016/05/16 v1.8 Color options for hyperref/bookmark (HO)
)
(C:\Users\debouhir\Documents\MikTex\tex\generic\ifxetex\ifxetex.sty
Package: ifxetex 2010/09/12 v0.6 Provides ifxetex conditional
)
(C:\Users\debouhir\Documents\MikTex\tex\latex\oberdiek\auxhook.sty
Package: auxhook 2016/05/16 v1.4 Hooks for auxiliary files (HO)
)
(C:\Users\debouhir\Documents\MikTex\tex\latex\oberdiek\kvoptions.sty
Package: kvoptions 2016/05/16 v3.12 Key value format for package options (HO)
)
\@linkdim=\dimen115
\Hy@linkcounter=\count100
\Hy@pagecounter=\count101
(C:\Users\debouhir\Documents\MikTex\tex\latex\hyperref\pd1enc.def
File: pd1enc.def 2016/05/21 v6.83p Hyperref: PDFDocEncoding definition (HO)
)
\Hy@SavedSpaceFactor=\count102
(C:\Users\debouhir\Documents\MikTex\tex\latex\00miktex\hyperref.cfg
File: hyperref.cfg 2002/06/06 v1.2 hyperref configuration of TeXLive
)
Package hyperref Info: Option `colorlinks' set `true' on input line 4362.
Package hyperref Info: Hyper figures OFF on input line 4486.
Package hyperref Info: Link nesting OFF on input line 4491.
Package hyperref Info: Hyper index ON on input line 4494.
Package hyperref Info: Plain pages OFF on input line 4501.
Package hyperref Info: Backreferencing OFF on input line 4506.
Package hyperref Info: Implicit mode ON; LaTeX internals redefined.
Package hyperref Info: Bookmarks ON on input line 4735.
\c@Hy@tempcnt=\count103
(C:\Users\debouhir\Documents\MikTex\tex\latex\url\url.sty
\Urlmuskip=\muskip11
Package: url 2013/09/16 ver 3.4 Verb mode for urls, etc.
)
LaTeX Info: Redefining \url on input line 5088.
\XeTeXLinkMargin=\dimen116
\Fld@menulength=\count104
\Field@Width=\dimen117
\Fld@charsize=\dimen118
Package hyperref Info: Hyper figures OFF on input line 6342.
Package hyperref Info: Link nesting OFF on input line 6347.
Package hyperref Info: Hyper index ON on input line 6350.
Package hyperref Info: backreferencing OFF on input line 6357.
Package hyperref Info: Link coloring ON on input line 6360.
Package hyperref Info: Link coloring with OCG OFF on input line 6367.
Package hyperref Info: PDF/A mode OFF on input line 6372.
LaTeX Info: Redefining \ref on input line 6412.
LaTeX Info: Redefining \pageref on input line 6416.
\Hy@abspage=\count105
\c@Item=\count106
\c@Hfootnote=\count107
)
Package hyperref Message: Driver (autodetected): hpdftex.
(C:\Users\debouhir\Documents\MikTex\tex\latex\hyperref\hpdftex.def
File: hpdftex.def 2016/05/21 v6.83p Hyperref driver for pdfTeX
\Fld@listcount=\count108
\c@bookmark@seq@number=\count109
(C:\Users\debouhir\Documents\MikTex\tex\latex\oberdiek\rerunfilecheck.sty
Package: rerunfilecheck 2016/05/16 v1.8 Rerun checks for auxiliary files (HO)
Package uniquecounter Info: New unique counter `rerunfilecheck' on input line 282.
)
\Hy@SectionHShift=\skip46
)
(C:\Users\debouhir\Documents\MikTex\tex\latex\xcolor\xcolor.sty
Package: xcolor 2016/05/11 v2.12 LaTeX color extensions (UK)
(C:\Users\debouhir\Documents\MikTex\tex\latex\00miktex\color.cfg
File: color.cfg 2016/01/02 v1.6 sample color configuration
)
Package xcolor Info: Package option `usenames' ignored on input line 216.
Package xcolor Info: Driver file: pdftex.def on input line 225.
(C:\Users\debouhir\Documents\MikTex\tex\latex\colortbl\colortbl.sty
Package: colortbl 2012/02/13 v1.0a Color table columns (DPC)
(C:\Users\debouhir\Documents\MikTex\tex\latex\tools\array.sty
Package: array 2014/10/28 v2.4c Tabular extension package (FMi)
\col@sep=\dimen119
\extrarowheight=\dimen120
\NC@list=\toks20
\extratabsurround=\skip47
\backup@length=\skip48
)
\everycr=\toks21
\minrowclearance=\skip49
)
\rownum=\count110
Package xcolor Info: Model `cmy' substituted by `cmy0' on input line 1348.
Package xcolor Info: Model `hsb' substituted by `rgb' on input line 1352.
Package xcolor Info: Model `RGB' extended on input line 1364.
Package xcolor Info: Model `HTML' substituted by `rgb' on input line 1366.
Package xcolor Info: Model `Hsb' substituted by `hsb' on input line 1367.
Package xcolor Info: Model `tHsb' substituted by `hsb' on input line 1368.
Package xcolor Info: Model `HSB' substituted by `hsb' on input line 1369.
Package xcolor Info: Model `Gray' substituted by `gray' on input line 1370.
Package xcolor Info: Model `wave' substituted by `hsb' on input line 1371.
(C:\Users\debouhir\Documents\MikTex\tex\latex\graphics\dvipsnam.def
File: dvipsnam.def 2016/06/17 v3.0m Driver-dependent file (DPC,SPQR)
))
(C:\Users\debouhir\Documents\MikTex\tex\latex\appendix\appendix.sty
Package: appendix 2009/09/02 v1.2b extra appendix facilities
\c@@pps=\count111
\c@@ppsavesec=\count112
\c@@ppsaveapp=\count113
)
(C:\Users\debouhir\Documents\MikTex\tex\latex\fancyhdr\fancyhdr.sty
\fancy@headwidth=\skip50
\f@ncyO@elh=\skip51
\f@ncyO@erh=\skip52
\f@ncyO@olh=\skip53
\f@ncyO@orh=\skip54
\f@ncyO@elf=\skip55
\f@ncyO@erf=\skip56
\f@ncyO@olf=\skip57
\f@ncyO@orf=\skip58
) (hwg-conv-ttl-rs485.aux (cern-title.aux))
\openout1 = `hwg-conv-ttl-rs485.aux'.
LaTeX Font Info: Checking defaults for OML/cmm/m/it on input line 35.
LaTeX Font Info: ... okay on input line 35.
LaTeX Font Info: Checking defaults for T1/cmr/m/n on input line 35.
LaTeX Font Info: ... okay on input line 35.
LaTeX Font Info: Checking defaults for OT1/cmr/m/n on input line 35.
LaTeX Font Info: ... okay on input line 35.
LaTeX Font Info: Checking defaults for OMS/cmsy/m/n on input line 35.
LaTeX Font Info: ... okay on input line 35.
LaTeX Font Info: Checking defaults for OMX/cmex/m/n on input line 35.
LaTeX Font Info: ... okay on input line 35.
LaTeX Font Info: Checking defaults for U/cmr/m/n on input line 35.
LaTeX Font Info: ... okay on input line 35.
LaTeX Font Info: Checking defaults for PD1/pdf/m/n on input line 35.
LaTeX Font Info: ... okay on input line 35.
(C:\Users\debouhir\Documents\MikTex\tex\context\base\supp-pdf.mkii
[Loading MPS to PDF converter (version 2006.09.02).]
\scratchcounter=\count114
\scratchdimen=\dimen121
\scratchbox=\box28
\nofMPsegments=\count115
\nofMParguments=\count116
\everyMPshowfont=\toks22
\MPscratchCnt=\count117
\MPscratchDim=\dimen122
\MPnumerator=\count118
\makeMPintoPDFobject=\count119
\everyMPtoPDFconversion=\toks23
) (C:\Users\debouhir\Documents\MikTex\tex\latex\oberdiek\epstopdf-base.sty
Package: epstopdf-base 2016/05/15 v2.6 Base part for package epstopdf
(C:\Users\debouhir\Documents\MikTex\tex\latex\oberdiek\grfext.sty
Package: grfext 2016/05/16 v1.2 Manage graphics extensions (HO)
)
Package epstopdf-base Info: Redefining graphics rule for `.eps' on input line 438.
Package grfext Info: Graphics extension search list:
(grfext) [.png,.pdf,.jpg,.mps,.jpeg,.jbig2,.jb2,.PNG,.PDF,.JPG,.JPEG,.JBIG2,.JB2,.eps]
(grfext) \AppendGraphicsExtensions on input line 456.
)
\AtBeginShipoutBox=\box29
Package hyperref Info: Link coloring ON on input line 35.
(C:\Users\debouhir\Documents\MikTex\tex\latex\hyperref\nameref.sty
Package: nameref 2016/05/21 v2.44 Cross-referencing by name of section
(C:\Users\debouhir\Documents\MikTex\tex\generic\oberdiek\gettitlestring.sty
Package: gettitlestring 2016/05/16 v1.5 Cleanup title references (HO)
)
\c@section@level=\count120
)
LaTeX Info: Redefining \ref on input line 35.
LaTeX Info: Redefining \pageref on input line 35.
LaTeX Info: Redefining \nameref on input line 35.
(hwg-conv-ttl-rs485.out)
(hwg-conv-ttl-rs485.out)
\@outlinefile=\write3
\openout3 = `hwg-conv-ttl-rs485.out'.
\openout2 = `cern-title.aux'.
(cern-title.tex <fig/cern-logo.pdf, id=107, 147.95435pt x 147.95435pt>
File: fig/cern-logo.pdf Graphic file (type pdf)
<use fig/cern-logo.pdf>
Package pdftex.def Info: fig/cern-logo.pdf used on input line 17.
(pdftex.def) Requested size: 85.35754pt x 85.35826pt.
<fig/ohwr-logo.pdf, id=108, 597.50829pt x 845.0471pt>
File: fig/ohwr-logo.pdf Graphic file (type pdf)
<use fig/ohwr-logo.pdf>
Package pdftex.def Info: fig/ohwr-logo.pdf used on input line 19.
(pdftex.def) Requested size: 60.34694pt x 85.35826pt.
Underfull \hbox (badness 10000) in paragraph at lines 27--28
[]
[1
{C:/Users/debouhir/AppData/Local/MiKTeX/2.9/pdftex/config/pdftex.map} <./fig/cern-logo.pdf> <./fig/ohwr-logo.pdf
pdfTeX warning: pdflatex.exe (file ./fig/ohwr-logo.pdf): PDF inclusion: multiple pdfs with page group included in a sing
le page
>])
Overfull \hbox (2.62793pt too wide) in paragraph at lines 52--57
\OT1/cmr/m/n/10.95 This doc-u-ment is li-censed un-der a Cre-ative Com-mons Attribution-ShareAlike
[]
pdfTeX warning (ext4): destination with the same identifier (name{page.1}) has been already used, duplicate ignored
<to be read again>
\relax
l.79 \pdfbookmark
[1]{\contentsname}{toc} [1
] (hwg-conv-ttl-rs485.toc)
\tf@toc=\write4
\openout4 = `hwg-conv-ttl-rs485.toc'.
[2] (hwg-conv-ttl-rs485.lof)
\tf@lof=\write5
\openout5 = `hwg-conv-ttl-rs485.lof'.
(hwg-conv-ttl-rs485.lot)
\tf@lot=\write6
\openout6 = `hwg-conv-ttl-rs485.lot'.
[3] [4]
LaTeX Font Info: Try loading font information for OMS+cmr on input line 117.
(C:\Users\debouhir\Documents\MikTex\tex\latex\base\omscmr.fd
File: omscmr.fd 2014/09/29 v2.5h Standard LaTeX font definitions
)
LaTeX Font Info: Font shape `OMS/cmr/m/n' in size <10.95> not available
(Font) Font shape `OMS/cmsy/m/n' tried instead on input line 117.
Overfull \hbox (4.08673pt too wide) in paragraph at lines 124--128
[]\OT1/cmr/m/n/10.95 CONV-TTL-RTM-RS485-OPT - Ac-tive rear-transition mod-ule (RTM),
[]
<fig/conv-sys-bd.pdf, id=192, 454.44849pt x 251.99026pt>
File: fig/conv-sys-bd.pdf Graphic file (type pdf)
<use fig/conv-sys-bd.pdf>
Package pdftex.def Info: fig/conv-sys-bd.pdf used on input line 131.
(pdftex.def) Requested size: 360.0pt x 199.61996pt.
[5 <./fig/conv-sys-bd.pdf>] [6]
<fig/conv-rs485-sys-bd.pdf, id=246, 176.88426pt x 170.72714pt>
File: fig/conv-rs485-sys-bd.pdf Graphic file (type pdf)
<use fig/conv-rs485-sys-bd.pdf>
Package pdftex.def Info: fig/conv-rs485-sys-bd.pdf used on input line 177.
(pdftex.def) Requested size: 233.9978pt x 225.86328pt.
[7 <./fig/conv-rs485-sys-bd.pdf>]
Underfull \hbox (badness 1968) in paragraph at lines 258--258
[]|\OT1/cmr/m/n/10.95 Low-voltage power sup-ply for the
[]
[8] [9] <fig/ttl-inp.png, id=293, 351.56343pt x 148.30406pt>
File: fig/ttl-inp.png Graphic file (type png)
<use fig/ttl-inp.png>
Package pdftex.def Info: fig/ttl-inp.png used on input line 381.
(pdftex.def) Requested size: 360.0pt x 151.86101pt.
<fig/ttl-out.png, id=295, 409.53pt x 241.65282pt>
File: fig/ttl-out.png Graphic file (type png)
<use fig/ttl-out.png>
Package pdftex.def Info: fig/ttl-out.png used on input line 393.
(pdftex.def) Requested size: 323.9978pt x 191.19492pt.
LaTeX Warning: `h' float specifier changed to `ht'.
Overfull \hbox (10.50586pt too wide) in paragraph at lines 398--404
\OT1/cmr/m/n/10.95 The out-put stage (Fig-ure [][]4[][] ) con-sists of Texas In-stru-ments SN64BCT25244DW
[]
[10 <./fig/ttl-inp.png>] <fig/ttl-out-en.png, id=302, 265.74281pt x 159.59625pt>
File: fig/ttl-out-en.png Graphic file (type png)
<use fig/ttl-out-en.png>
Package pdftex.def Info: fig/ttl-out-en.png used on input line 406.
(pdftex.def) Requested size: 251.9989pt x 151.34525pt.
[11 <./fig/ttl-out.png> <./fig/ttl-out-en.png>] <fig/rs485-inp.png, id=310, 661.72218pt x 374.90062pt>
File: fig/rs485-inp.png Graphic file (type png)
<use fig/rs485-inp.png>
Package pdftex.def Info: fig/rs485-inp.png used on input line 453.
(pdftex.def) Requested size: 323.9978pt x 183.55989pt.
[12 <./fig/rs485-inp.png>] <fig/rs485-out.png, id=326, 743.77875pt x 524.71031pt>
File: fig/rs485-out.png Graphic file (type png)
<use fig/rs485-out.png>
Package pdftex.def Info: fig/rs485-out.png used on input line 493.
(pdftex.def) Requested size: 323.9978pt x 228.5754pt.
[13 <./fig/rs485-out.png>] [14] <fig/rtmdet.png, id=342, 300.3722pt x 375.65344pt>
File: fig/rtmdet.png Graphic file (type png)
<use fig/rtmdet.png>
Package pdftex.def Info: fig/rtmdet.png used on input line 582.
(pdftex.def) Requested size: 300.37144pt x 375.65251pt.
LaTeX Warning: `h' float specifier changed to `ht'.
[15] <fig/pcb-version.png, id=351, 410.2828pt x 388.45125pt>
File: fig/pcb-version.png Graphic file (type png)
<use fig/pcb-version.png>
Package pdftex.def Info: fig/pcb-version.png used on input line 625.
(pdftex.def) Requested size: 205.1409pt x 194.22514pt.
LaTeX Warning: `h' float specifier changed to `ht'.
[16 <./fig/rtmdet.png>]
LaTeX Warning: Citation `(' on page 17 undefined on input line 654.
LaTeX Warning: Citation `(' on page 17 undefined on input line 661.
[17 <./fig/pcb-version.png>] <fig/straddled.jpg, id=368, 1464.672pt x 822.272pt>
File: fig/straddled.jpg Graphic file (type jpg)
<use fig/straddled.jpg>
Package pdftex.def Info: fig/straddled.jpg used on input line 666.
(pdftex.def) Requested size: 360.0pt x 202.10457pt.
[18 <./fig/straddled.jpg>] [19] (hwg-conv-ttl-rs485.bbl
Underfull \hbox (badness 5592) in paragraph at lines 26--27
[]\OT1/cmr/m/n/10.95 ``Simple VME FMC Car-rier (SVEC).'' [][]$\OT1/cmtt/m/n/10.95 http : / / www . ohwr . org /
[]
Underfull \hbox (badness 5446) in paragraph at lines 29--30
[]\OT1/cmr/m/n/10.95 ``Simple PCIE FMC Car-rier (SPEC).'' [][]$\OT1/cmtt/m/n/10.95 http : / / www . ohwr . org /
[]
Underfull \hbox (badness 8189) in paragraph at lines 36--38
[]\OT1/cmr/m/n/10.95 ``Comparison of RS-485 transceivers.'' [][]$\OT1/cmtt/m/n/10.95 https : / / www . ohwr . org /
[]
Underfull \hbox (badness 1348) in paragraph at lines 40--42
[]\OT1/cmr/m/n/10.95 ``SDetection of RS-485 sig-nal loss.'' [][]$\OT1/cmtt/m/n/10.95 http : / / www . ti . com / lit / a
n /
[]
Underfull \hbox (badness 10000) in paragraph at lines 44--46
[]\OT1/cmr/m/n/10.95 ``Rear Tran-si-tion Mod-ule De-tec-tion.'' [][]$\OT1/cmtt/m/n/10.95 http : / / www . ohwr . org /
[]
Underfull \hbox (badness 7012) in paragraph at lines 48--50
[]\OT1/cmr/m/n/10.95 ``RTM Moth-er-board Schemat-ics.'' [][]$\OT1/cmtt/m/n/10.95 https : / / edms . cern . ch / file /
[]
)
Package atveryend Info: Empty hook `BeforeClearDocument' on input line 719.
[20]
Package atveryend Info: Empty hook `AfterLastShipout' on input line 719.
(hwg-conv-ttl-rs485.aux (cern-title.aux))
Package atveryend Info: Executing hook `AtVeryEndDocument' on input line 719.
Package atveryend Info: Executing hook `AtEndAfterFileList' on input line 719.
Package rerunfilecheck Info: File `hwg-conv-ttl-rs485.out' has not changed.
(rerunfilecheck) Checksum: CD326FA53A084ADAB0F7CC82B1DCA3BA;1657.
LaTeX Warning: There were undefined references.
Package atveryend Info: Empty hook `AtVeryVeryEnd' on input line 719.
)
Here is how much of TeX's memory you used:
6747 strings out of 493335
96595 string characters out of 3136265
197560 words of memory out of 3000000
10104 multiletter control sequences out of 15000+200000
10050 words of font info for 36 fonts, out of 3000000 for 9000
1141 hyphenation exceptions out of 8191
41i,13n,43p,274b,391s stack positions out of 5000i,500n,10000p,200000b,50000s
<C:/Users/debouhir/Documents/MikTex/fonts/type1/public/amsfonts/cm/cmbx10.pfb><C:/Users/debouhir/Documents/MikTex/font
s/type1/public/amsfonts/cm/cmbx12.pfb><C:/Users/debouhir/Documents/MikTex/fonts/type1/public/amsfonts/cm/cmbxti10.pfb><C
:/Users/debouhir/Documents/MikTex/fonts/type1/public/amsfonts/cm/cmmi10.pfb><C:/Users/debouhir/Documents/MikTex/fonts/ty
pe1/public/amsfonts/cm/cmmi8.pfb><C:/Users/debouhir/Documents/MikTex/fonts/type1/public/amsfonts/cm/cmr10.pfb><C:/Users/
debouhir/Documents/MikTex/fonts/type1/public/amsfonts/cm/cmr12.pfb><C:/Users/debouhir/Documents/MikTex/fonts/type1/publi
c/amsfonts/cm/cmr8.pfb><C:/Users/debouhir/Documents/MikTex/fonts/type1/public/amsfonts/cm/cmsy10.pfb><C:/Users/debouhir/
Documents/MikTex/fonts/type1/public/amsfonts/cm/cmti10.pfb><C:/Users/debouhir/Documents/MikTex/fonts/type1/public/amsfon
ts/cm/cmtt10.pfb>
Output written on hwg-conv-ttl-rs485.pdf (21 pages, 2296992 bytes).
PDF statistics:
468 PDF objects out of 1000 (max. 8388607)
77 named destinations out of 1000 (max. 500000)
269 words of extra memory for PDF output out of 10000 (max. 10000000)
\contentsline {table}{\numberline {1}{\ignorespaces Voltage levels on CONV-TTL-RS485}}{8}{table.1}
\contentsline {table}{\numberline {2}{\ignorespaces Clocks on CONV-TTL-RS485}}{9}{table.2}
\BOOKMARK [1][-]{Doc-Start}{Licensing information}{}% 1
\BOOKMARK [1][-]{section*.2}{Revision history}{}% 2
\BOOKMARK [1][-]{toc.1}{Contents}{}% 3
\BOOKMARK [1][-]{section*.6}{List of abbreviations}{}% 4
\BOOKMARK [1][-]{section.1}{Introduction}{}% 5
\BOOKMARK [2][-]{subsection.1.1}{Additional documentation}{section.1}% 6
\BOOKMARK [1][-]{section.2}{Front module}{}% 7
\BOOKMARK [2][-]{subsection.2.1}{VME connector}{section.2}% 8
\BOOKMARK [2][-]{subsection.2.2}{Power supplies}{section.2}% 9
\BOOKMARK [2][-]{subsection.2.3}{Clock circuits}{section.2}% 10
\BOOKMARK [2][-]{subsection.2.4}{FPGA}{section.2}% 11
\BOOKMARK [2][-]{subsection.2.5}{TTL pulse repetition}{section.2}% 12
\BOOKMARK [3][-]{subsubsection.2.5.1}{TTL input stage}{subsection.2.5}% 13
\BOOKMARK [3][-]{subsubsection.2.5.2}{TTL output stage}{subsection.2.5}% 14
\BOOKMARK [2][-]{subsection.2.6}{RS485 pulse repetition}{section.2}% 15
\BOOKMARK [3][-]{subsubsection.2.6.1}{RS485 input stage}{subsection.2.6}% 16
\BOOKMARK [3][-]{subsubsection.2.6.2}{RS485 output stage}{subsection.2.6}% 17
\BOOKMARK [2][-]{subsection.2.7}{SFP connector}{section.2}% 18
\BOOKMARK [2][-]{subsection.2.8}{Thermometer and flash chip}{section.2}% 19
\BOOKMARK [2][-]{subsection.2.9}{RTM detection}{section.2}% 20
\BOOKMARK [2][-]{subsection.2.10}{Status and pulse LEDs}{section.2}% 21
\BOOKMARK [2][-]{subsection.2.11}{PCB version}{section.2}% 22
\BOOKMARK [1][-]{section.3}{Rear-Transition Module}{}% 23
\BOOKMARK [2][-]{subsection.3.1}{RTM Motherboard}{section.3}% 24
\BOOKMARK [2][-]{subsection.3.2}{RTM Piggyback}{section.3}% 25
\BOOKMARK [1][-]{section*.7}{References}{}% 26
[FormatInfo]
Type=TeXnicCenterProjectInformation
Version=4
[ProjectInfo]
MainFile=hwg-conv-ttl-rs485.tex
UseBibTeX=0
UseMakeIndex=0
ActiveProfile=LaTeX ⇨ PDF
ProjectLanguage=en
ProjectDialect=US
%==============================================================================
% Document header
%==============================================================================
\documentclass[a4paper,11pt]{article}
\usepackage{graphicx}
\usepackage{multirow}
\usepackage{amsmath}
% Hyperrefs
\usepackage[
colorlinks = true,
linkcolor = black,
citecolor = black,
urlcolor = blue,
]{hyperref}
% Color package
\usepackage[usenames,dvipsnames,table]{xcolor}
% Appendices
\usepackage[toc,page]{appendix}
% Header and footer customization
\usepackage{fancyhdr}
\setlength{\headheight}{15.2pt}
\pagestyle{fancy}
\fancyhead[L]{\nouppercase{\leftmark}}
\fancyhead[R]{}
\renewcommand{\footrulewidth}{0.4pt}
%==============================================================================
% Start of document
%==============================================================================
\begin{document}
%------------------------------------------------------------------------------
% Title
%------------------------------------------------------------------------------
\include{cern-title}
%------------------------------------------------------------------------------
% Licensing info
%------------------------------------------------------------------------------
\pagebreak
\thispagestyle{empty}
\addcontentsline{toc}{section}{Licensing information}
\section*{Licensing information}
\noindent
This document is licensed under a Creative Commons Attribution-ShareAlike 4.0
International License. If you have not received a copy of the license along with this
work, see \\
\url{http://creativecommons.org/licenses/by-sa/4.0/}
%------------------------------------------------------------------------------
% Revision history
%------------------------------------------------------------------------------
\section*{Revision history}
\addcontentsline{toc}{section}{Revision history}
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l c p{.6\textwidth}}
\hline
\multicolumn{1}{c}{\textbf{Date}} & \multicolumn{1}{c}{\textbf{Version}} & \multicolumn{1}{c}{\textbf{Change}} \\
\hline
21-12-2017 & 1 & \textit{D. Bouhired} First draft \\
\hline
\end{tabular}
}
%------------------------------------------------------------------------------
% Table of contents, list of figs, tables
%------------------------------------------------------------------------------
\pagebreak
\pdfbookmark[1]{\contentsname}{toc}
\tableofcontents
\pagebreak
\listoffigures
\listoftables
%------------------------------------------------------------------------------
% List of abbreviations
%------------------------------------------------------------------------------
\pagebreak
\section*{List of Abbreviations}
\begin{tabular}{l l}
FPGA & Field-Programmable Gate Array \\
RTM & Rear-Transition Module \\
IC & Integrated Circuit \\
I$^2$C & Inter-Integrated Circuit (bus) \\
PLL & Phase-Locked Loop \\
RTM & Rear Transition Module \\
SFP & Small-Form-factor Pluggable (transceiver) \\
TVS & Transient Voltage Suppressor (diode) \\
SVEC & Simple VME FMC Carrier \\
SPEC & Simple PCIe FMC Carrier \\
VME & Versa Module Eurocard \\
\end{tabular}
\addcontentsline{toc}{section}{List of abbreviations}
%==============================================================================
% SEC: Intro
%==============================================================================
\pagebreak
\section{Introduction}
\label{sec:intro}
This document explains in detail the hardware of the TTL to RS485 converter
system (Figure~\ref{fig:conv-sys-bd}). A full pulse conversion system consists of
three distinct boards:
\begin{itemize}
\item CONV-TTL-RS485 -- active front module, containing the circuitry necessary
to achieve all functionality of the system
\item CONV-TTL-RTM -- passive rear-transition module (RTM) motherboard, providing the
connections from the CONV-TTL-RS485 VME P2 connector to the rear panel
\item CONV-TTL-RTM-RS485-DB9 - piggyback board on the RTM, providing the D-Sub9 connectors,
pulse LEDs, and the screws to fix the rear panel. It can repeat on 2 channels (CH1 and CH2),
with 1 input and 5 outputs for each one of them
\item CONV-TTL-RTM-RS485-OPT - Active rear-transition module (RTM), plugging directly
onto the CONV-TTL-RS485 VME P2 connector. It provides optical connectivity with ST connectors,
pulse LEDs, and the screws to fix the rear panel. It can repeat on 3 channels (CH1 and CH2),
with 1 input and 2 outputs for each channel
\end{itemize}
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/conv-sys-bd}}
\caption{TTL to RS485 pulse conversion system}
\label{fig:conv-sys-bd}
\end{figure}
The CONV-TTL-RS485 can be used standalone without any RTM, if no RS485 or optical pulse replication
is needed. This board contains all active circuitry needed to implement the functionalty of
the system, from RS485 or TTL pulse detection and generation, to communication over I$^2$C and
time-tagging via White Rabbit \cite{white-rabbit}.
An RTM system usually (but not always, in the case of the optical RTM) consists of both motherboard
and piggyback and provide the connections to input RSD485 pulses to the CONV-TTL-RS485.
\textit{\textbf{Note that, as the optical RTM design is more involved, it is more fully described
in its own documentation. As this RTM converts RS485 from the P2 connector to optical signals,
and input on its optical receivers, to RS485 signals, this document will only focus on TTL conversion
to and from RS485. Optical conversion will not be further discussed in this document)}}
%------------------------------------------------------------------------------
% SUBSEC: Additional doc
%------------------------------------------------------------------------------
\subsection{Additional documentation}
\begin{itemize}
\item CONV-TTL-RS485 OHWR Project Page \cite{ctrs-proj}
\item CONV-TTL-RS485 Schematics on CERN EDMS \cite{sch}
\item CONV-TTL-RTM-RS485-DB9 Schematics on CERN EDMS \cite{rtmp-sch-db9}
\item CONV-TTL-RS485 User Guide \cite{ctrs-ug}
\item CONV-TTL-RS485 HDL Guide \cite{ctrs-hdlguide}
\end{itemize}
\pagebreak
%==============================================================================
% SEC: CONV-TTL-RS485
%==============================================================================
\section{Front module}
\label{sec:ctrs}
A block diagram of the CONV-TTL-RS485 front module is shown in Figure~\ref{fig:conv-rs485-sys-bd}.
The board contains all active circuitry needed within a converter system. The various blocks in
Figure~\ref{fig:conv-rs485-sys-bd} are presented in subsections that follow.
The schematics of the CONV-TTL-RS485 board can be found at \cite{sch}.
\begin{figure}[h]
\centerline{\includegraphics[width=.65\textwidth]{fig/conv-rs485-sys-bd}}
\caption{Block diagram of CONV-TTL-RS485 board}
\label{fig:conv-rs485-sys-bd}
\end{figure}
%------------------------------------------------------------------------------
% SEC: VME conn
%------------------------------------------------------------------------------
\subsection{VME connector}
\label{sec:vme}
\begin{tabular}{p{.95\textwidth}}
\hline
\large Schematics: page 5 \\
\hline
\end{tabular}
\vspace*{11pt}
\noindent
The VME backplane consists in two connectors, P1 and P2. The following connections
provided by the P1 connector are used on the CONV-TTL-RS485:
\begin{itemize}
\item the VME power supply pins (3.3~V and 5~V )
\item \textit{SERCLK} and \textit{SERDAT} pins, for I$^2$C communication
\item the geographical addressing pins, also necessary for I$^2$C communication
\item the active-low system reset line, connected to the FPGA for resetting the
logic implemented therein
\end{itemize}
Serial communication lines, geographical addressing lines and the system reset line
are isolated from the FPGA by means of a Texas Instruments SN74VMEH22501DGGR bus
transceiver. Their use is based on the SVEC design \cite{svec} and is due to their
compatibility to the VME standard.
Apart from the bus grant and IACK lines, which are daisy-chained, the rest of the
VME signals are not used on the CONV-TTL-RS485 board.
The user-defined part of the P2 connector is used for carrying signals from the
CONV-TTL-RS485 to the RTM. The following signals are
routed via the VME P2 connector:
\begin{itemize}
\item RS485 input signals (Section~\ref{sec:rs485-inp})
\item RS485 output signals (Section~\ref{sec:rs485-out})
\item RTM detection and rear panel pulse LED signals (Section~\ref{sec:rmtdet})
\end{itemize}
%------------------------------------------------------------------------------
% SUBSEC: Power
%------------------------------------------------------------------------------
\subsection{Power supplies}
\label{sec:ctrs-power}
\begin{tabular}{p{.95\textwidth}}
\hline
\large Schematics: pages 2, 3 \\
\hline
\end{tabular}
\vspace*{11pt}
\noindent Three power levels are needed on the CONV-TTL-RS485 board. They are listed in
Table~\ref{tbl:voltage-levels}. All power supplies on the board are derived
in some way from the 3.3~V and 5~V VME power supplies.
\begin{table}[h]
\caption{Voltage levels on CONV-TTL-RS485}
\label{tbl:voltage-levels}
\centerline{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l p{.5\textwidth}}
\hline
\multicolumn{1}{c}{\textbf{Level}} & \multicolumn{1}{c}{\textbf{Description}} \\
\hline
1.2~V & Low-voltage power supply for the FPGA logic \\
3.3~V & V$_{CC}$ for most of the devices on the board \\
5~V & Power supply for some circuits on-board (Eg: TTL input and output stage) \\
\hline
\end{tabular}
}
\end{table}
First, the 5~V and 3.3~V VME supplies arriving on the VME connectors are filtered using
two PI filters (schematic page 2). These filters assure noise immunity in the 50~MHz to
150~MHz band. The filtered power supplies are used throughout the logic.
The 1.2~V logic power supply is generated by a Texas Instruments TPS54312PWP Buck converter.
This circuit can be found in page 2 of the schematics.
%------------------------------------------------------------------------------
% SUBSEC: Clocks
%------------------------------------------------------------------------------
\subsection{Clock circuits}
\label{sec:clocks}
\begin{tabular}{p{.95\textwidth}}
\hline
\large Schematics: page 4 \\
\hline
\end{tabular}
\vspace*{11pt}
\noindent There are multiple clock signals on the CONV-TTL-RS485 (Table~\ref{tbl:clocks}).
A 20~MHz clock for the FPGA is generated directly from a tunable VCXO (OSC3). The
second FPGA clock is a 125~MHz signal generated from a 25~MHz VCXO by means of a Texas
Instruments CDCM61004RHBT PLL IC. Two of the other PLL's output channels are used
to output dedicated 125~MHz dedicated clocks to the SFP and FPGA transceiver.
\begin{table}[h]
\caption{Clocks on CONV-TTL-RS485}
\label{tbl:clocks}
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l r l}
\hline
\multicolumn{1}{c}{\textbf{Clock}} & \multicolumn{1}{c}{\textbf{Frequency}} & \multicolumn{1}{c}{\textbf{Description}} \\
\hline
CLK20\_VCXO & 20~MHz & FPGA clock (from VCXO) \\
FPGA\_CLK & 125~MHz & FPGA clock (from PLL IC) \\
SFP\_CLK & 125~MHz & Dedicated SFP clock \\
FPGA\_MGT\_CLK & 125~MHz & Dedicated clock for FPGA transceiver \\
\hline
\end{tabular}
}
\end{table}
Both VCXOs can be tuned by means of two Analog Devices DACs (IC17 and IC18), that
can be controlled by the FPGA via a 3-wire SPI interface.
The 3.3~V power supply used by ICs on the clock generation part is a cleaner version
of the board-wide 3.3~V supply. The cleaning is done by a four-pole LC filter.
The design of the clock circuits is based on the SPEC board design \cite{spec}.
%------------------------------------------------------------------------------
% SUBSEC: TTL pulse rep
%------------------------------------------------------------------------------
\subsection{FPGA}
\label{sec:fpga}
\begin{tabular}{p{.95\textwidth}}
\hline
\large Schematics: page 3 \\
\hline
\end{tabular}
\vspace*{11pt}
\noindent A Xilinx XC6SLX45T Spartan-6 FPGA is present on the CONV-TTL-RS485 board. It is the
core part of the conversion system, since it is the device controlling
all the components on the board.
The intended functionality of the FPGA is:
\begin{itemize}
\item generating output pulses as response to input pulse
\item pulse logging
\item clock conditioning
\item remote reprogramming
\item controlling the various panel LEDs to inform the user either of pulse
arrival, or the status of the system.
\end{itemize}
For more details on the FPGA firmware and functionality, refer to the CONV-TTL-RS485
HDL Guide~\cite{ctrs-hdlguide}.
%------------------------------------------------------------------------------
% SUBSEC: TTL pulse rep
%------------------------------------------------------------------------------
\subsection{TTL pulse repetition}
\label{sec:ttl}
\begin{tabular}{p{.95\textwidth}}
\hline
\large Schematics: page 7 \\
\hline
\end{tabular}
\vspace*{11pt}
\noindent TTL and TTL-BAR pulses may arrive on front panels of CONV-TTL-RS485 boards. The two
signal types are described in Sections~4.1~and~4.2 of~\cite{ctrs-ug}. Signals
arriving on an input channel go through an input stage consisting of Schmitt
trigger circuits; they are then input to the FPGA, where the pulse gets regenerated
and passed to the output stage.
%------------------------------------------------------------------------------
\subsubsection{TTL input stage}
The input stage on a TTL pulse channel is shown in Figure~\ref{fig:ttl-inp}.
Pulses go through a Texas Instruments SN74LVC14AD Schmitt trigger inverter which
isolates the FPGA from the channel input. The inverter is 5~V tolerant at the
input, so TTL signals may be up to 5~V high. Anything above 5.6~V opens the BAR66
diode to the 5~V and protects the Schmitt trigger.
The input stage is 50~$\Omega$ terminated (the three 150~$\Omega$ resistors in parallel).
Note that when no wire is plugged into the LEMO connector, the termination pulls the line low
which becomes a continuous high-level when it comes out of the Schmitt trigger.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/ttl-inp}}
\caption{TTL pulse input stage}
\label{fig:ttl-inp}
\end{figure}
This input stage is repeated on each of the six TTL pulse replication channels of
the CONV-TTL-RS485, as well as the four inverter channels.
%------------------------------------------------------------------------------
\subsubsection{TTL output stage}
\begin{figure}[h]
\centerline{\includegraphics[width=0.9\textwidth]{fig/ttl-out}}
\caption{TTL pulse output stage}
\label{fig:ttl-out}
\end{figure}
The output stage (Figure~\ref{fig:ttl-out} ) consists of Texas Instruments SN64BCT25244DW tri-state buffers
driven by the FPGA. These buffers assure a high-impedance output on startup and
assure the line can drive a 50~$\Omega$ load. Pull-down resistors at the output
of the tri-state buffers assure a continuous low level at the output when the
buffers are not enabled. Combined with the guaranteed tri-state output on startup,
these resistors assure a continuous low-level at the output on startup.
\begin{figure}[h]
\centerline{\includegraphics[width=0.7\textwidth]{fig/ttl-out-en}}
\caption{TTL pulse output enable signals}
\label{fig:ttl-out-en}
\end{figure}
The buffers' enable signals are controlled by two signals from the FPGA.
These signals are NANDed together (IC8 NAND gate, see Figure~\ref{fig:ttl-out-en}) and connected to the output
enable active-low signals. When the FPGA does not drive the output enable line,
it is pulled high by a pull-up resistor, to safeguard against spurious signals on the
output of the channel.
%------------------------------------------------------------------------------
% SUBSEC: RS485 pulse rep
%------------------------------------------------------------------------------
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
\subsection{RS485 pulse repetition}
\label{sec:rs485}
\begin{tabular}{p{.95\textwidth}}
\hline
\large Schematics: pages 9-12 \\
\hline
\end{tabular}
\vspace*{11pt}
\noindent RS485 pulses are handled through the P2 connectors on the VME backplane
(These are effectively coming from the DB9 connectors on the rear panel of the
CONV-TTL-RTM-RS485-DB9, or converted from the optical input of the optical RTM).
They arrive at the RS485 input stage on the CONV-TTL-RS485 and each has a dedicated
RS485 transceiver.
Similarly the RS485 output is the result of the same transceiver taking TTL
pulses coming from the FPGA and converting these to an RS485-compliant pair.
During the PCB layout process, care has been taken to route these RS485 pairs
in full differential mode.The pairs have differential impedance of 100~$\Omega$.
\subsubsection{RS485 input stage}
\label{sec:rs485-inp}
\begin{tabular}{p{.95\textwidth}}
\hline
\large Schematics: pages 9-10 \\
\hline
\end{tabular}
\begin{figure}[h]
\centerline{\includegraphics[width=0.9\textwidth]{fig/rs485-inp}}
\caption{RS485 pulse input stage}
\label{fig:rs485-inp}
\end{figure}
Texas instrument's SN65HVD3088ED~\cite{sn65hvd3088ed} was selected after several
other solutions were considered~\cite{comp-rs485-trans}. It is is a low-power, half-duplex RS485 device,
that is one of the fastest on the market (20Mbps).
The input stage uses two RS485 transceivers for each channel, as shown in Figure~\ref{fig:rs485-inp}.
This was added in order to implement a monitoring function on the state of the input link.
A low level differential signal can be interpreted in several ways:
\begin{itemize}
\item No cable is not connected
\item The link is experiencing a lot of attenuation
\item A DC supression device, such a transformer, has been connected TO the link.
\end{itemize}
The SN65HVD3088ED datasheet~\cite{sn65hvd3088ed} shows that the input differential thresholds $V+_{in}$ and $V-_{in}$
are both negative. By connecting receivers with the differential pins swapped a
voltage range between [$-V-_{in}$, $V-_{in}$] can be defined in which a fault detection
can be issued by ANDing the R pins of the two receivers (this is performed inside the FPGA).
Further information can be found in this technical document from Texas Instruments ~\cite{ti-sig-loss}.
\\
The input stage also offers optional input termination. This is activated by one of
the dip switches present on the board (see Section 3 of~\cite{ctrs-ug}). This input
termination signal is then used to engage a solid state
relay (The VO1400AEFTR), thereby enabling the 100~$\Omega$ termination. This relay
can also be seen on Figure~\ref{fig:rs485-inp}.
\subsubsection{RS485 output stage}
\label{sec:rs485-out}
\begin{tabular}{p{.95\textwidth}}
\hline
\large Schematics: pages 11-12 \\
\hline
\end{tabular}
\begin{figure}[h]
\centerline{\includegraphics[width=0.9\textwidth]{fig/rs485-out}}
\caption{RS485 pulse output stage}
\label{fig:rs485-out}
\end{figure}
Each RS485 output for each channel has its dedicated transceiver capable of driving 256 nodes.
Additionally, each output channel has a selectable 100~$\Omega$ termination, which can be enabled by the
output termination switches (see Section 3 of~\cite{ctrs-ug}). Setting the input or output
termination enable switch to the ON position enables a connection via a solid-state
relay, just as in the input stage, which in turns enables the termination connection
as shown in Figure~\ref{fig:rs485-out}.
\textbf{\textit{Note that the motherboard has been designed to offer 6 RS485 channels,
each with 1 input and 3 outputs. This effectively means that one RS485 chip is used for each output port,
a maximum of three per channel. Since the CONV-TTL-RTM-RS485-DB9 board only implements 2 of
the possible 6 channels, and since it can offer up to 5 outputs per channel, more
transceivers were therefore required for a single channel. To accomplish this, and only
when this particular RTM is recognised by the FPGA in the front
module (Through the RTM detection lines), RS485 transceivers from unused channels are
called upon to process the 2 additional inputs per channel. This operation is performed by the FPGA,
which copies signals to the desired ports, allowing the re-use of hardware resources. }}
%------------------------------------------------------------------------------
% SEC: SFP Connector
%------------------------------------------------------------------------------
\pagebreak
\subsection{SFP connector}
\label{sec:sfp}
\begin{tabular}{p{.95\textwidth}}
\hline
\large Schematics: page 6 \\
\hline
\end{tabular}
\vspace*{11pt}
\noindent The small form-factor pluggable (SFP) connector on the CONV-TTL-RS485 front panel
can be used to input an optic fiber cable that may be used for pulse time-tagging
using White Rabbit.
%------------------------------------------------------------------------------
% SEC: Thermo, flash
%------------------------------------------------------------------------------
\subsection{Thermometer and flash chip}
\label{sec:thermo-flash}
\begin{tabular}{p{.95\textwidth}}
\hline
\large Schematics: page 13 \\
\hline
\end{tabular}
\vspace*{11pt}
\noindent A DS18B20U+ thermometer chip is provided on board. This chip can be used to provide
a unique ID for the board and measure on-board temperature. It communicates to the
FPGA via a Dallas one-wire interface and is powered from 3.3~V.
The Flash chip on-board is used to store FPGA configuration data. It is a Macronix
MX25L3233F SPI Flash memory chip with 32~Mbits storage capability.
%------------------------------------------------------------------------------
% SEC: RTM det
%------------------------------------------------------------------------------
\subsection{RTM detection}
\label{sec:rmtdet}
\begin{tabular}{p{.95\textwidth}}
\hline
\large Schematics: page 5 \\
\hline
\end{tabular}
\vspace*{11pt}
\noindent
The RTM detection circuitry is shown in Figure~\ref{fig:rtmdet}. It works by
connecting the RTM motherboard or piggyback detection lines to ground, based
on the motherboard or piggyback used. Lines not connected to ground are pulled
up to V$_{CC}$ by the pull-up resistor, which yields a low value after
the Schmitt triggers. The outputs of the Schmitt triggers are connected directly
to the FPGA inputs.
An up-to-date list of boards and their RTM detection line connections can be found
at \cite{rtmdet}.
\begin{figure}[h]
\centerline{\includegraphics[scale=1]{fig/rtmdet}}
\caption{RTM detection circuit}
\label{fig:rtmdet}
\end{figure}
%------------------------------------------------------------------------------
% SEC: LEDs, status and pulse
%------------------------------------------------------------------------------
\subsection{Status and pulse LEDs}
\label{sec:leds}
\begin{tabular}{p{.95\textwidth}}
\hline
\large Schematics: page 8 \\
\hline
\end{tabular}
\vspace*{11pt}
The circuit for driving the bicolor status LEDs is based on the SVEC design \cite{svec}.
It consists of the same Texas Instruments SN74VMEH22501DGGR bus buffer chip used
for buffering the VME signals. The control and data lines of the chip are driven
by logic within the FPGA, which controls lighting of each of the LEDs. An example
of how the LEDs can be driven using the FPGA is given in Section~5 of~\cite{ctrs-hdlguide}.
TTL (front panel), INV channels (front panel) and rear panel pulse LEDs are driven by the FPGA
via a SN7414 Schmitt trigger. In the case of the RTM LEDs, the output of
the Schmitt trigger is connected directly to the VME P2 connector and through
the RTM to the piggyback, where the current-limiting resistor and the LED are located.
%------------------------------------------------------------------------------
% SEC: PCB version
%------------------------------------------------------------------------------
\subsection{PCB version}
\label{sec:diag-pcbvers}
The PCB version is necessary to the operation of the burst mode. Indeed the FPGA reads out the hardware version,
and depending on whether the board is v4 and later or v3 earlier, will enable or disable this functionality accordingly.
The PCB version is provided to the FPGA via a resistor network offering 4 bits for the version number
and 2 bits for potential revisions. The circuit is shown in Fig.~\ref{fig:pcb-version}.
\begin{figure}[h]
\centering
\includegraphics[scale=0.5]{fig/pcb-version.png}
\caption{Hard-wired PCB versioning}
\label{fig:pcb-version}
\end{figure}
Board version can be read as 4 bits, 1s when the resistors are pulled up, 0s when they are pulled down. Board revision is available in 2-bits, read-out in the same way. For example, Fig.~\ref{fig:pcb-version} shows a v4.0 board.
Note that PCB identification is not available in boards v3 and earlier, and therefore will be read as all zeroes in the FPGA.
%==============================================================================
% SEC: RTM, RTMP
%==============================================================================
\section{Rear-Transition Module}
\label{sec:rtm}
Rear transition modules (RTMs) are located on the rear side of the VME crate.
An RTM in TTL to RS485 converter systems is made up of two boards, the
motherboard and the piggyback, containing only passive components. The two boards
are detailed in the next subsections.
%------------------------------------------------------------------------------
% SUBSEC: RTMM
%------------------------------------------------------------------------------
\subsection{RTM Motherboard}
The CONV-TTL-RTM motherboard~\cite{rtmm-sch} is the interface between the VME P2
connector and the RTM piggyback board. It provides a female connector to the VME
backplane P2 connector and links the RS485 and pulse LED signals from the
CONV-TTL-RS485 to the piggyback via a 100-pin connector.
RTM motherboards are used in both CONV-TTL-BLO~\cite(ctb-proj) and CONV-TTL-RS485 systems, with
different piggybacks.
The motherboard also contains 47~V transient voltage suppressor (TVS) diodes that inhibit
high-voltage pulses arriving on piggyback LEMO connectors. The first two production
versions have the 100~nF capacitor soldered on top of these TVS diodes, as shown in
Figure~\ref{fig:straddled}. These were added to resolve the common-impedance coupling
problem~\cite{crosstalk} that was observed when these were connected to CONV-TTL-BLO~\cite(ctb-proj). These
capacitors are not required for CONV-TTL-RS485 applications, and needn't be discussed
further in this document.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/straddled.jpg}}
\caption{\label{fig:straddled} Capacitors straddled on the TVS diodes on some RTMM cards}
\end{figure}
%------------------------------------------------------------------------------
% SUBSEC: RTMP
%------------------------------------------------------------------------------
\pagebreak
\subsection{RTM Piggyback}
The CONV-TTL-RTM-RS485-DB9 piggyback~\cite{rtmp-sch-db9}, provides the
actual connectors on rear panels of TTL to RS485 converter systems.
On each of the two RS485 channels, there are six female D-sub9 connectors (one input and five outputs)
and one LED together with its corresponding current-limiting resistor. The connections
for each of the DB9 connectors and LEDs are made via the 100-pin male connector, through the RTM
motherboard and to the CONV-TTL-RS485.
Note that a CONV-TTL-RS485 RTM can support up to 6 repetition channels. This is the case
for, the functional but now unsupported design, of the LEMO 2-pin version of the RTM piggyback
~\cite{rtmp-sch-lemo}. However, since this card will be used at CERN for timing signal distribution,
it became clear the requirement for single signal fanout was more important than a multi-channel capability.
It was therefore decided to use the limited space on the rear panel to increase the number of output
ports per channel, while reducing the number of independent channels.
%%==============================================================================
%%Appendices
%%==============================================================================
%\pagebreak
%\begin{appendices}
%
%
%
%
%%==============================================================================
%%APP: Block outp stage calc
%
%
%
%%==============================================================================
%\end{appendices}
%%==============================================================================
%==============================================================================
% Bibliography
%==============================================================================
\pagebreak
\bibliographystyle{ieeetr}
\bibliography{hwg-conv-ttl-rs485}
\addcontentsline{toc}{section}{References}
\end{document}
\ No newline at end of file
\contentsline {section}{Licensing information}{1}{Doc-Start}
\contentsline {section}{Revision history}{1}{section*.2}
\contentsline {section}{List of abbreviations}{4}{section*.6}
\contentsline {section}{\numberline {1}Introduction}{5}{section.1}
\contentsline {subsection}{\numberline {1.1}Additional documentation}{6}{subsection.1.1}
\contentsline {section}{\numberline {2}Front module}{7}{section.2}
\contentsline {subsection}{\numberline {2.1}VME connector}{7}{subsection.2.1}
\contentsline {subsection}{\numberline {2.2}Power supplies}{8}{subsection.2.2}
\contentsline {subsection}{\numberline {2.3}Clock circuits}{9}{subsection.2.3}
\contentsline {subsection}{\numberline {2.4}FPGA}{9}{subsection.2.4}
\contentsline {subsection}{\numberline {2.5}TTL pulse repetition}{10}{subsection.2.5}
\contentsline {subsubsection}{\numberline {2.5.1}TTL input stage}{10}{subsubsection.2.5.1}
\contentsline {subsubsection}{\numberline {2.5.2}TTL output stage}{10}{subsubsection.2.5.2}
\contentsline {subsection}{\numberline {2.6}RS485 pulse repetition}{12}{subsection.2.6}
\contentsline {subsubsection}{\numberline {2.6.1}RS485 input stage}{12}{subsubsection.2.6.1}
\contentsline {subsubsection}{\numberline {2.6.2}RS485 output stage}{13}{subsubsection.2.6.2}
\contentsline {subsection}{\numberline {2.7}SFP connector}{15}{subsection.2.7}
\contentsline {subsection}{\numberline {2.8}Thermometer and flash chip}{15}{subsection.2.8}
\contentsline {subsection}{\numberline {2.9}RTM detection}{15}{subsection.2.9}
\contentsline {subsection}{\numberline {2.10}Status and pulse LEDs}{15}{subsection.2.10}
\contentsline {subsection}{\numberline {2.11}PCB version}{16}{subsection.2.11}
\contentsline {section}{\numberline {3}Rear-Transition Module}{17}{section.3}
\contentsline {subsection}{\numberline {3.1}RTM Motherboard}{17}{subsection.3.1}
\contentsline {subsection}{\numberline {3.2}RTM Piggyback}{19}{subsection.3.2}
\contentsline {section}{References}{20}{section*.7}
[FormatInfo]
Type=TeXnicCenterProjectSessionInformation
Version=2
[Frame0]
Flags=0
ShowCmd=1
MinPos.x=-1
MinPos.y=-1
MaxPos.x=-1
MaxPos.y=-1
NormalPos.left=4
NormalPos.top=26
NormalPos.right=1640
NormalPos.bottom=698
Class=LaTeXView
Document=hwg-conv-ttl-rs485.tex
[Frame0_View0,0]
TopLine=490
Cursor=20193
[Frame1]
Flags=0
ShowCmd=1
MinPos.x=-1
MinPos.y=-1
MaxPos.x=-1
MaxPos.y=-1
NormalPos.left=4
NormalPos.top=26
NormalPos.right=1640
NormalPos.bottom=698
Class=LaTeXView
Document=cern-title.tex
[Frame1_View0,0]
TopLine=0
Cursor=394
[SessionInfo]
FrameCount=2
ActiveFrame=0
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment