Commit 63d84121 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

Changes to hdl guide for release 4

parent 9e8e0f9c
...@@ -11,7 +11,7 @@ ...@@ -11,7 +11,7 @@
\hfill Gateware v3.0 \hfill Gateware v3.0
\hfill January 27, 2015 \hfill February 17, 2017
\vspace*{3cm} \vspace*{3cm}
...@@ -26,8 +26,8 @@ ...@@ -26,8 +26,8 @@
%--------------------------------------------------------------- %---------------------------------------------------------------
% name % name
%--------------------------------------------------------------- %---------------------------------------------------------------
\noindent {\Large \textbf{Theodor-Adrian Stana (CERN/BE-CO-HT)}} \noindent {\Large \textbf{Theodor-Adrian Stana (CERN/BE-CO-HT)}}\\
\noindent { \textit {Last modified by Denia Bouhired-Ferrag (CERN/BE-CO-HT)}}\\
\noindent \rule{\textwidth}{.05cm} \noindent \rule{\textwidth}{.05cm}
\end{titlepage} \end{titlepage}
...@@ -17,53 +17,69 @@ Base address: 0x000 ...@@ -17,53 +17,69 @@ Base address: 0x000
\endhead \endhead
\hline \hline
\endfoot \endfoot
0x0 & 0x54424c4f & BIDR & Board ID Register\\ 0x0& 0x54424c4f & BIDR & Board ID Register\\
0x4 & (1) & SR & Status Register\\ 0x4& Note(1)& SR & Status Register\\
0x8 & 0x00000000 & CR & Control Register\\ 0x8& 0x00000000 & ERR & Error Register\\
0xc & 0x00000000 & CH1PCR & Channel 1 Pulse Counter Register\\ 0xc& 0x00000000 & CR & Control Register\\
0x10 & 0x00000000 & CH2PCR & Channel 2 Pulse Counter Register\\ 0x10& 0x00000000 & CH1TTLPCR & Channel 1 TTL Pulse Counter Register\\
0x14 & 0x00000000 & CH3PCR & Channel 3 Pulse Counter Register\\ 0x14& 0x00000000 & CH2TTLPCR & Channel 2 TTL Pulse Counter Register\\
0x18 & 0x00000000 & CH4PCR & Channel 4 Pulse Counter Register\\ 0x18& 0x00000000 & CH3TTLPCR & Channel 3 TTL Pulse Counter Register\\
0x1c & 0x00000000 & CH5PCR & Channel 5 Pulse Counter Register\\ 0x1c& 0x00000000 & CH4TTLPCR & Channel 4 TTL Pulse Counter Register\\
0x20 & 0x00000000 & CH6PCR & Channel 6 Pulse Counter Register\\ 0x20& 0x00000000 & CH5TTLPCR & Channel 5 TTL Pulse Counter Register\\
0x24 & 0x00000000 & TVLR & Time Value Low Register\\ 0x24& 0x00000000 & CH6TTLPCR & Channel 6 TTL Pulse Counter Register\\
0x28 & 0x00000000 & TVHR & Time Value High Register\\ 0x28& 0x00000000 & CH1BLOPCR & Channel 1 BLO Pulse Counter Register\\
0x2c & 0x00000000 & TBMR & Tag Buffer Meta Register\\ 0x2c& 0x00000000 & CH2BLOPCR & Channel 2 BLO Pulse Counter Register\\
0x30 & 0x00000000 & TBCYR & Tag Buffer Cycles Register\\ 0x30& 0x00000000 & CH3BLOPCR & Channel 3 BLO Pulse Counter Register\\
0x34 & 0x00000000 & TBTLR & Tag Buffer TAI Low Register\\ 0x34& 0x00000000 & CH4BLOPCR & Channel 4 BLO Pulse Counter Register\\
0x38 & 0x00000000 & TBTHR & Tag Buffer TAI High Register\\ 0x38& 0x00000000 & CH5BLOPCR & Channel 5 BLO Pulse Counter Register\\
0x3c & 0x00020000 & TBCSR & Tag Buffer Control and Status Register\\ 0x3c& 0x00000000 & CH6BLOPCR & Channel 6 BLO Pulse Counter Register\\
0x40 & 0x00000000 & CH1LTSCYR & Channel 1 Latest Timestamp Cycles Register\\ 0x40& 0x00000000 & TVLR & Time Value Low Register\\
0x44 & 0x00000000 & CH1LTSTLR & Channel 1 Latest Timestamp TAI Low Register\\ 0x44& 0x00000000 & TVHR & Time Value High Register\\
0x48 & 0x00000000 & CH1LTSTHR & Channel 1 Latest Timestamp TAI High Register\\ 0x48& 0x00000000 & TBMR & Tag Buffer Meta Register\\
0x4c & 0x00000000 & CH2LTSCYR & Channel 2 Latest Timestamp Cycles Register\\ 0x4c& 0x00000000 & TBCYR & Tag Buffer Cycles Register\\
0x50 & 0x00000000 & CH2LTSTLR & Channel 2 Latest Timestamp TAI Low Register\\ 0x50& 0x00000000 & TBTLR & Tag Buffer TAI Low Register\\
0x54 & 0x00000000 & CH2LTSTHR & Channel 2 Latest Timestamp TAI High Register\\ 0x54& 0x00000000 & TBTHR & Tag Buffer TAI High Register\\
0x58 & 0x00000000 & CH3LTSCYR & Channel 3 Latest Timestamp Cycles Register\\ 0x58& 0x00020000 & TBCSR & Tag Buffer Control and Status Register\\
0x5c & 0x00000000 & CH3LTSTLR & Channel 3 Latest Timestamp TAI Low Register\\ 0x5c& 0x00000000 & CH1LTSCYR & Channel 1 Latest Timestamp Cycles Register\\
0x60 & 0x00000000 & CH3LTSTHR & Channel 3 Latest Timestamp TAI High Register\\ 0x60& 0x00000000 & CH1LTSTLR & Channel 1 Latest Timestamp TAI Low Register\\
0x64 & 0x00000000 & CH4LTSCYR & Channel 4 Latest Timestamp Cycles Register\\ 0x64& 0x00000000 & CH1LTSTHR & Channel 1 Latest Timestamp TAI High Register\\
0x68 & 0x00000000 & CH4LTSTLR & Channel 4 Latest Timestamp TAI Low Register\\ 0x68& 0x00000000 & CH2LTSCYR & Channel 2 Latest Timestamp Cycles Register\\
0x6c & 0x00000000 & CH4LTSTHR & Channel 4 Latest Timestamp TAI High Register\\ 0x6c& 0x00000000 & CH2LTSTLR & Channel 2 Latest Timestamp TAI Low Register\\
0x70 & 0x00000000 & CH5LTSCYR & Channel 5 Latest Timestamp Cycles Register\\ 0x70& 0x00000000 & CH2LTSTHR & Channel 2 Latest Timestamp TAI High Register\\
0x74 & 0x00000000 & CH5LTSTLR & Channel 5 Latest Timestamp TAI Low Register\\ 0x74& 0x00000000 & CH3LTSCYR & Channel 3 Latest Timestamp Cycles Register\\
0x78 & 0x00000000 & CH5LTSTHR & Channel 5 Latest Timestamp TAI High Register\\ 0x78& 0x00000000 & CH3LTSTLR & Channel 3 Latest Timestamp TAI Low Register\\
0x7c & 0x00000000 & CH6LTSCYR & Channel 6 Latest Timestamp Cycles Register\\ 0x7c& 0x00000000 & CH3LTSTHR & Channel 3 Latest Timestamp TAI High Register\\
0x80 & 0x00000000 & CH6LTSTLR & Channel 6 Latest Timestamp TAI Low Register\\ 0x80& 0x00000000 & CH4LTSCYR & Channel 4 Latest Timestamp Cycles Register\\
0x84 & 0x00000000 & CH6LTSTHR & Channel 6 Latest Timestamp TAI High Register\\ 0x84& 0x00000000 & CH4LTSTLR & Channel 4 Latest Timestamp TAI Low Register\\
0x88 & (2) & LSR & Line Status Register\\ 0x88& 0x00000000 & CH4LTSTHR & Channel 4 Latest Timestamp TAI High Register\\
0x8c& 0x00000000 & CH5LTSCYR & Channel 5 Latest Timestamp Cycles Register\\
0x90& 0x00000000 & CH5LTSTLR & Channel 5 Latest Timestamp TAI Low Register\\
0x94& 0x00000000 & CH5LTSTHR & Channel 5 Latest Timestamp TAI High Register\\
0x98& 0x00000000 & CH6LTSCYR & Channel 6 Latest Timestamp Cycles Register\\
0x9c& 0x00000000 & CH6LTSTLR & Channel 6 Latest Timestamp TAI Low Register\\
0xa0& 0x00000000 & CH6LTSTHR & Channel 6 Latest Timestamp TAI High Register\\
0xa4& Note(2) & LSR & Line Status Register\\
0xa8& 0x00000000 & OSWR & Other switch resistor\\
0xac& Unique ID & UIDLR & Thermometer ID Low register\\
0xb0& Unique ID & UIDHR & Thermometer ID High register\\
0xb4& 0x00000000 & TEMPR & Board Temperature Register\\
\hline
\end{longtable} \end{longtable}
} }
\noindent Note (1): The reset value of the SR cannot be specified, since it is based on the \noindent Note (1): The reset value of the SR cannot be specified, since it is based on the
gateware version, the state of the on-board switches and whether an RTM is plugged in or not. gateware version, the state of the on-board switches and whether an RTM is plugged in or not.
\noindent Note (2): The reset value of the LSR cannot be specified, since it depends on whether a cable \noindent Note (2): The reset value of the LSR cannot be specified, since it depends on whether a cable
is plugged into the channel or not. is plugged into the channel or not.
\vspace{11pt} \vspace{11pt}
\subsubsection{BIDR -- Board ID Register} \subsubsection{BIDR -- Board ID Register}
\label{app:conv-regs-bidr} \label{app:conv-regs-BIDR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -101,20 +117,23 @@ Reset value: 0x54424c4f ...@@ -101,20 +117,23 @@ Reset value: 0x54424c4f
\end{small} \end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \vspace{11pt}
\subsubsection{SR -- Status Register} \subsubsection{SR -- Status Register}
\label{app:conv-regs-sr} \label{app:conv-regs-SR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{1}{|c}{-} & \multicolumn{6}{|c|}{\cellcolor{gray!25}PMISSE[5:0]} & \multicolumn{1}{|c|}{\cellcolor{gray!25}I2C\_ERR}\\ \multicolumn{1}{|c}{-} & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}WRPRES} & \multicolumn{4}{|c|}{\cellcolor{gray!25}HWVERS[5:2]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{1}{|c|}{\cellcolor{gray!25}WRPRES} & \multicolumn{1}{|c|}{\cellcolor{gray!25}I2C\_WDTO} & \multicolumn{6}{|c|}{\cellcolor{gray!25}RTM[5:0]}\\ \multicolumn{2}{|c|}{\cellcolor{gray!25}HWVERS[1:0]} & \multicolumn{6}{|c|}{\cellcolor{gray!25}RTM[5:0]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
...@@ -140,21 +159,21 @@ Leftmost nibble hex value is major release decimal value \\ ...@@ -140,21 +159,21 @@ Leftmost nibble hex value is major release decimal value \\
SWITCHES SWITCHES
} [\emph{read-only}]: Status of on-board general-purpose switches } [\emph{read-only}]: Status of on-board general-purpose switches
\\ \\
1 -- switch is ON \\ 0 -- switch is OFF Eg: SW1.1-- SR.SWITCHES[0] \\ SW1.2-- SR.SWITCHES[1] \\ SW2.1-- SR.SWITCHES[4] \\ SW2.4-- SR.SWITCHES[7] \\ 1 -- switch is ON \\ 0 -- switch is OFF
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
RTM RTM
} [\emph{read-only}]: RTM detection lines~\cite{rtm-det} } [\emph{read-only}]: RTM detection lines cite{rtm-det}
\\ \\
1 -- line active \\ 0 -- line inactive 1 bit per RTM output channel \\ 1 -- line active \\ 0 -- line inactive
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
I2C\_WDTO HWVERS
} [\emph{read/write}]: I2C communication watchdog timeout error } [\emph{read-only}]: Hardware version
\\ \\
1 -- timeout occured \\ 0 -- no timeout \\ This bit can be cleared by writing a '1' to it PCB version - Hardwired on the board \\ Only meaningful for HW v4.0 and over \\ Earlier versions show 0. The register \\ uses 4 bits for the version number and\\ 2 bits for the execution.\\ e.g. \\ 0x010001 -- hw v4.1 \\ 0x010111 -- hw v5.3 \\ 0x00-- hw v3 and earlier
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
...@@ -163,6 +182,42 @@ WRPRES ...@@ -163,6 +182,42 @@ WRPRES
\\ \\
1 -- White Rabbit present \\ 0 -- White Rabbit not present 1 -- White Rabbit present \\ 0 -- White Rabbit not present
\end{small} \end{small}
\end{itemize}
\subsubsection{ERR - Error Register}
\label{app:conv-regs-ERR}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{1}{|c}{-} & - & \multicolumn{6}{|c|}{\cellcolor{gray!25}FWDG\_PMISSE[5:0]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{6}{|c|}{\cellcolor{gray!25}FLIM\_PMISSE[5:0]} & \multicolumn{1}{|c|}{\cellcolor{gray!25}I2C\_ERR} & \multicolumn{1}{|c|}{\cellcolor{gray!25}I2C\_WDTO}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
I2C\_WDTO
} [\emph{read/write}]: I2C communication watchdog timeout error
\\
1 -- timeout occured \\ 0 -- no timeout \\ This bit can be cleared by writing a '1' to it
\end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
I2C\_ERR I2C\_ERR
...@@ -172,19 +227,21 @@ I2C\_ERR ...@@ -172,19 +227,21 @@ I2C\_ERR
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
PMISSE FLIM\_PMISSE
} [\emph{read/write}]: Pulse missed error } [\emph{read/write}]: Frequency error
\\ \\
1 -- pulse arrived during pulse rejection phase \\ 0 -- idle \\ Bit 0 -- channel 1 \\ Bit 1 -- channel 2 \\ etc. \\ Each bit can be cleared by writing a '1' to it 1 -- Input above maximum supported frequency \\ 0 -- idle \\ Bit 0 -- channel 1 \\ Bit 1 -- channel 2 \\ etc. \\ Each bit can be cleared by writing a '1' to it
\end{small} \end{small}
\item \begin{small} \item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined {\bf
FWDG\_PMISSE
} [\emph{read/write}]: Frequency watchdog error
\\
1 -- Pulse over maximum pulse count for given frequency' \\ 0 -- idle \\ Bit 0 -- channel 1 \\ Bit 1 -- channel 2 \\ etc. \\ Each bit can be cleared by writing a '1' to it
\end{small} \end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CR - Control Register}
\subsubsection{CR -- Control Register} \label{app:conv-regs-CR}
\label{app:conv-regs-cr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -219,7 +276,7 @@ RST\_UNLOCK ...@@ -219,7 +276,7 @@ RST\_UNLOCK
\item \begin{small} \item \begin{small}
{\bf {\bf
RST RST
} [\emph{read/write}]: Reset bit } [\emph{read/write}]: Reset bit - active only if RST_UNLOCK is 1
\\ \\
1 -- initiate logic reset \\ 0 -- no reset 1 -- initiate logic reset \\ 0 -- no reset
\end{small} \end{small}
...@@ -234,29 +291,28 @@ Write the following sequence to trigger a pulse: \\ 0xde -- ...@@ -234,29 +291,28 @@ Write the following sequence to trigger a pulse: \\ 0xde --
\textbf{Unimplemented bits}: write as '0', read undefined \textbf{Unimplemented bits}: write as '0', read undefined
\end{small} \end{small}
\end{itemize} \end{itemize}
\vspace{11pt}
\subsubsection{CH1PCR -- Channel 1 Pulse Counter Register}
\label{app:conv-regs-ch1pcr}
\subsubsection{CH1TTLPCR - Channel 1 Pulse Counter Register for TTL pulses}
\label{app:conv-regs-CH1TTLPCR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1PCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH1TTLPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1PCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH1TTLPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1PCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH1TTLPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1PCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH1TTLPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -264,16 +320,14 @@ Write the following sequence to trigger a pulse: \\ 0xde -- ...@@ -264,16 +320,14 @@ Write the following sequence to trigger a pulse: \\ 0xde --
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH1PCR CH1TTLPCR
} [\emph{read/write}]: Pulse counter value } [\emph{read/write}]: TTL pulse counter value
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small} \end{small}
\end{itemize} \end{itemize}
\vspace{11pt}
\subsubsection{CH2PCR -- Channel 2 Pulse Counter Register}
\label{app:conv-regs-ch2pcr} \subsubsection{CH2TTLPCR - Channel 2 Pulse Counter Register for TTL pulses}
\label{app:conv-regs-CH2TTLPCR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -281,19 +335,19 @@ CH1PCR ...@@ -281,19 +335,19 @@ CH1PCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2PCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2TTLPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2PCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2TTLPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2PCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2TTLPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2PCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2TTLPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -301,16 +355,46 @@ CH1PCR ...@@ -301,16 +355,46 @@ CH1PCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH2PCR CH2TTLPCR
} [\emph{read/write}]: Pulse counter value } [\emph{read/write}]: TTL pulse counter value
\end{small} \end{small}
\end{itemize}
\subsubsection{CH3TTLPCR - Channel 3 Pulse Counter Register for TTL pulses}
\label{app:conv-regs-CH3TTLPCR}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3TTLPCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3TTLPCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3TTLPCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3TTLPCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small} \item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined {\bf
CH3TTLPCR
} [\emph{read/write}]: TTL pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\vspace{11pt}
\subsubsection{CH3PCR -- Channel 3 Pulse Counter Register}
\label{app:conv-regs-ch3pcr} \subsubsection{CH4TTLPCR - Channel 4 Pulse Counter Register for TTL pulses}
\label{app:conv-regs-CH4TTLPCR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -318,19 +402,19 @@ CH2PCR ...@@ -318,19 +402,19 @@ CH2PCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3PCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4TTLPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3PCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4TTLPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3PCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4TTLPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3PCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4TTLPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -338,16 +422,46 @@ CH2PCR ...@@ -338,16 +422,46 @@ CH2PCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH3PCR CH4TTLPCR
} [\emph{read/write}]: Pulse counter value } [\emph{read/write}]: TTL pulse counter value
\end{small} \end{small}
\end{itemize}
\subsubsection{CH5TTLPCR - Channel 5 Pulse Counter Register for TTL pulses}
\label{app:conv-regs-CH5TTLPCR}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5TTLPCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5TTLPCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5TTLPCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5TTLPCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small} \item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined {\bf
CH5TTLPCR
} [\emph{read/write}]: TTL pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH6TTLPCR - Channel 6 Pulse Counter Register for TTL pulses}
\subsubsection{CH4PCR -- Channel 4 Pulse Counter Register} \label{app:conv-regs-CH6TTLPCR}
\label{app:conv-regs-ch4pcr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -355,19 +469,19 @@ CH3PCR ...@@ -355,19 +469,19 @@ CH3PCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4PCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH6TTLPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4PCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH6TTLPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4PCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH6TTLPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4PCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH6TTLPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -375,16 +489,45 @@ CH3PCR ...@@ -375,16 +489,45 @@ CH3PCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH4PCR CH6TTLPCR
} [\emph{read/write}]: Pulse counter value } [\emph{read/write}]: TTL pulse counter value
\end{small} \end{small}
\end{itemize}
\subsubsection{CH1BLOPCR - Channel 1 Pulse Counter Register for BLO pulses}
\label{app:conv-regs-CH1BLOPCR}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1BLOPCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1BLOPCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1BLOPCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1BLOPCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small} \item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined {\bf
CH1BLOPCR
} [\emph{read/write}]: BLO pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH2BLOPCR - Channel 2 Pulse Counter Register for BLO pulses}
\subsubsection{CH5PCR -- Channel 5 Pulse Counter Register} \label{app:conv-regs-CH2BLOPCR}
\label{app:conv-regs-ch5pcr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -392,19 +535,19 @@ CH4PCR ...@@ -392,19 +535,19 @@ CH4PCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5PCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2BLOPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5PCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2BLOPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5PCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2BLOPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5PCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2BLOPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -412,16 +555,46 @@ CH4PCR ...@@ -412,16 +555,46 @@ CH4PCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH5PCR CH2BLOPCR
} [\emph{read/write}]: Pulse counter value } [\emph{read/write}]: BLO pulse counter value
\end{small} \end{small}
\end{itemize}
\subsubsection{CH3BLOPCR - Channel 3 Pulse Counter Register for BLO pulses}
\label{app:conv-regs-CH3BLOPCR}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3BLOPCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3BLOPCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3BLOPCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3BLOPCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small} \item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined {\bf
CH3BLOPCR
} [\emph{read/write}]: BLO pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH4BLOPCR - Channel 4 Pulse Counter Register for BLO pulses}
\subsubsection{CH6PCR -- Channel 6 Pulse Counter Register} \label{app:conv-regs-CH4BLOPCR}
\label{app:conv-regs-ch6pcr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -429,19 +602,19 @@ CH5PCR ...@@ -429,19 +602,19 @@ CH5PCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6PCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4BLOPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6PCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4BLOPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6PCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4BLOPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6PCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4BLOPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -449,16 +622,80 @@ CH5PCR ...@@ -449,16 +622,80 @@ CH5PCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH6PCR CH4BLOPCR
} [\emph{read/write}]: Pulse counter value } [\emph{read/write}]: BLO pulse counter value
\end{small} \end{small}
\end{itemize}
\subsubsection{CH5BLOPCR - Channel 5 Pulse Counter Register for BLO pulses}
\label{app:conv-regs-CH5BLOPCR}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5BLOPCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5BLOPCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5BLOPCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5BLOPCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small} \item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined {\bf
CH5BLOPCR
} [\emph{read/write}]: BLO pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\subsubsection{CH6BLOPCR - Channel 6 Pulse Counter Register for BLO pulses}
\label{app:conv-regs-CH6BLOPCR}
\vspace{11pt} \vspace{11pt}
\subsubsection{TVLR -- Time Value Low Register} \noindent
\label{app:conv-regs-tvlr} \resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6BLOPCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6BLOPCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6BLOPCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6BLOPCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
CH6BLOPCR
} [\emph{read/write}]: BLO pulse counter value
\end{small}
\end{itemize}
\subsubsection{TVLR - Time Value Low Register}
\label{app:conv-regs-TVLR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -491,13 +728,11 @@ TVLR ...@@ -491,13 +728,11 @@ TVLR
\\ \\
Writing this field resets the internal cycles counter. Writing this field resets the internal cycles counter.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{TVHR - Time Value High Register}
\subsubsection{TVHR -- Time Value High Register} \label{app:conv-regs-TVHR}
\label{app:conv-regs-tvhr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -530,14 +765,9 @@ TVHR ...@@ -530,14 +765,9 @@ TVHR
\\ \\
Writing this field resets the internal cycles counter. Writing this field resets the internal cycles counter.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{TBMR - Tag Buffer Meta Register}
\subsubsection{TBMR -- Tag Buffer Meta Register} \label{app:conv-regs-TBMR}
\label{app:conv-regs-tbmr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -576,16 +806,9 @@ WRTAG ...@@ -576,16 +806,9 @@ WRTAG
\\ \\
1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter 1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\item \begin{small}
\textbf{A read from this register advances the buffer read pointer, if the ring buffer is not empty}
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{TBCYR - Tag Buffer Cycles Register}
\subsubsection{TBCYR -- Tag Buffer Cycles Register} \label{app:conv-regs-TBCYR}
\label{app:conv-regs-tbcyr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -618,13 +841,11 @@ TBCYR ...@@ -618,13 +841,11 @@ TBCYR
\\ \\
Value of the 8-ns cycles counter when time tag was taken. Value of the 8-ns cycles counter when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{TBTLR - Tag Buffer TAI Low Register}
\subsubsection{TBTLR -- Tag Buffer TAI Low Register} \label{app:conv-regs-TBTLR}
\label{app:conv-regs-tbtlr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -657,14 +878,10 @@ TBTLR ...@@ -657,14 +878,10 @@ TBTLR
\\ \\
Value of the TAI seconds counter bits 31..0 when time tag was taken. Value of the TAI seconds counter bits 31..0 when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\pagebreak \subsubsection{TBTHR - Tag Buffer TAI High Register}
\vspace{11pt} \label{app:conv-regs-TBTHR}
\subsubsection{TBTHR -- Tag Buffer TAI High Register}
\label{app:conv-regs-tbthr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -697,14 +914,9 @@ TBTHR ...@@ -697,14 +914,9 @@ TBTHR
\\ \\
Value of the TAI seconds counter bits 39..32 when time tag was taken. Value of the TAI seconds counter bits 39..32 when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{TBCSR - Tag Buffer Control and Status Register}
\subsubsection{TBCSR -- Tag Buffer Control and Status Register} \label{app:conv-regs-TBCSR}
\label{app:conv-regs-tbcsr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -757,14 +969,9 @@ CLR ...@@ -757,14 +969,9 @@ CLR
\\ \\
1 -- clear\\ 0 -- no effect 1 -- clear\\ 0 -- no effect
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH1LTSCYR - Channel 1 Latest Timestamp Cycles Register}
\subsubsection{CH1LTSCYR -- Channel 1 Latest Timestamp Cycles Register} \label{app:conv-regs-CH1LTSCYR}
\label{app:conv-regs-ch1ltscyr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -792,17 +999,14 @@ CLR ...@@ -792,17 +999,14 @@ CLR
\item \begin{small} \item \begin{small}
{\bf {\bf
CH1LTSCYR CH1LTSCYR
} [\emph{write-only}]: Cycles counter } [\emph{read-only}]: Cycles counter
\\ \\
Value of the 8-ns cycles counter when time tag was taken. Value of the 8-ns cycles counter when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH1LTSTLR - Channel 1 Latest Timestamp TAI Low Register}
\subsubsection{CH1LTSTLR -- Channel 1 Latest Timestamp TAI Low Register} \label{app:conv-regs-CH1LTSTLR}
\label{app:conv-regs-ch1ltstlr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -835,15 +1039,10 @@ CH1LTSTLR ...@@ -835,15 +1039,10 @@ CH1LTSTLR
\\ \\
Value of the TAI seconds counter bits 31..0 when time tag was taken. Value of the TAI seconds counter bits 31..0 when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH1LTSTHR - Channel 1 Latest Timestamp TAI High Register}
\label{app:conv-regs-CH1LTSTHR}
\pagebreak
\subsubsection{CH1LTSTHR -- Channel 1 Latest Timestamp TAI High Register}
\label{app:conv-regs-ch1ltsthr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -883,14 +1082,9 @@ WRTAG ...@@ -883,14 +1082,9 @@ WRTAG
\\ \\
1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter 1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH2LTSCYR - Channel 2 Latest Timestamp Cycles Register}
\subsubsection{CH2LTSCYR -- Channel 2 Latest Timestamp Cycles Register} \label{app:conv-regs-CH2LTSCYR}
\label{app:conv-regs-ch2ltscyr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -918,18 +1112,13 @@ WRTAG ...@@ -918,18 +1112,13 @@ WRTAG
\item \begin{small} \item \begin{small}
{\bf {\bf
CH2LTSCYR CH2LTSCYR
} [\emph{write-only}]: Cycles counter } [\emph{read-only}]: Cycles counter
\\ \\
Value of the 8-ns cycles counter when time tag was taken. Value of the 8-ns cycles counter when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH2LTSTLR - Channel 2 Latest Timestamp TAI Low Register}
\subsubsection{CH2LTSTLR -- Channel 2 Latest Timestamp TAI Low Register} \label{app:conv-regs-CH2LTSTLR}
\label{app:conv-regs-ch2ltstlr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -961,14 +1150,9 @@ CH2LTSTLR ...@@ -961,14 +1150,9 @@ CH2LTSTLR
\\ \\
Value of the TAI seconds counter bits 31..0 when time tag was taken. Value of the TAI seconds counter bits 31..0 when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH2LTSTHR - Channel 2 Latest Timestamp TAI High Register}
\subsubsection{CH2LTSTHR -- Channel 2 Latest Timestamp TAI High Register} \label{app:conv-regs-CH2LTSTHR}
\label{app:conv-regs-ch2ltsthr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1007,14 +1191,9 @@ WRTAG ...@@ -1007,14 +1191,9 @@ WRTAG
\\ \\
1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter 1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH3LTSCYR - Channel 3 Latest Timestamp Cycles Register}
\subsubsection{CH3LTSCYR -- Channel 3 Latest Timestamp Cycles Register} \label{app:conv-regs-CH3LTSCYR}
\label{app:conv-regs-ch3ltscyr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1042,18 +1221,13 @@ WRTAG ...@@ -1042,18 +1221,13 @@ WRTAG
\item \begin{small} \item \begin{small}
{\bf {\bf
CH3LTSCYR CH3LTSCYR
} [\emph{write-only}]: Cycles counter } [\emph{read-only}]: Cycles counter
\\ \\
Value of the 8-ns cycles counter when time tag was taken. Value of the 8-ns cycles counter when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH3LTSTLR - Channel 3 Latest Timestamp TAI Low Register}
\subsubsection{CH3LTSTLR -- Channel 3 Latest Timestamp TAI Low Register} \label{app:conv-regs-CH3LTSTLR}
\label{app:conv-regs-ch3ltstlr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1085,15 +1259,9 @@ CH3LTSTLR ...@@ -1085,15 +1259,9 @@ CH3LTSTLR
\\ \\
Value of the TAI seconds counter bits 31..0 when time tag was taken. Value of the TAI seconds counter bits 31..0 when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH3LTSTHR - Channel 3 Latest Timestamp TAI High Register}
\label{app:conv-regs-CH3LTSTHR}
\pagebreak
\subsubsection{CH3LTSTHR -- Channel 3 Latest Timestamp TAI High Register}
\label{app:conv-regs-ch3ltsthr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -1133,33 +1301,28 @@ WRTAG ...@@ -1133,33 +1301,28 @@ WRTAG
\\ \\
1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter 1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH4LTSCYR - Channel 4 Latest Timestamp Cycles Register}
\subsubsection{CH4LTSCYR -- Channel 4 Latest Timestamp Cycles Register} \label{app:conv-regs-CH4LTSCYR}
\label{app:conv-regs-ch4ltscyr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{1}{|c}{-} & - & - & - & \multicolumn{4}{|c|}{\cellcolor{gray!25}TAI[27:24]}\\ \multicolumn{1}{|c}{-} & - & - & - & \multicolumn{4}{|c|}{\cellcolor{gray!25}CH4LTSCYR[27:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TAI[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4LTSCYR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TAI[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4LTSCYR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TAI[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4LTSCYR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -1167,19 +1330,14 @@ WRTAG ...@@ -1167,19 +1330,14 @@ WRTAG
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
TAI CH4LTSCYR
} [\emph{write-only}]: Cycles counter } [\emph{read-only}]: Cycles counter
\\ \\
Value of the 8-ns cycles counter when time tag was taken. Value of the 8-ns cycles counter when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH4LTSTLR - Channel 4 Latest Timestamp TAI Low Register}
\subsubsection{CH4LTSTLR -- Channel 4 Latest Timestamp TAI Low Register} \label{app:conv-regs-CH4LTSTLR}
\label{app:conv-regs-ch4ltstlr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1211,14 +1369,9 @@ CH4LTSTLR ...@@ -1211,14 +1369,9 @@ CH4LTSTLR
\\ \\
Value of the TAI seconds counter bits 31..0 when time tag was taken. Value of the TAI seconds counter bits 31..0 when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH4LTSTHR - Channel 4 Latest Timestamp TAI High Register}
\subsubsection{CH4LTSTHR -- Channel 4 Latest Timestamp TAI High Register} \label{app:conv-regs-CH4LTSTHR}
\label{app:conv-regs-ch4ltsthr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1257,14 +1410,9 @@ WRTAG ...@@ -1257,14 +1410,9 @@ WRTAG
\\ \\
1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter 1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH5LTSCYR - Channel 5 Latest Timestamp Cycles Register}
\subsubsection{CH5LTSCYR -- Channel 5 Latest Timestamp Cycles Register} \label{app:conv-regs-CH5LTSCYR}
\label{app:conv-regs-ch5ltscyr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1292,18 +1440,13 @@ WRTAG ...@@ -1292,18 +1440,13 @@ WRTAG
\item \begin{small} \item \begin{small}
{\bf {\bf
CH5LTSCYR CH5LTSCYR
} [\emph{write-only}]: Cycles counter } [\emph{read-only}]: Cycles counter
\\ \\
Value of the 8-ns cycles counter when time tag was taken. Value of the 8-ns cycles counter when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH5LTSTLR - Channel 5 Latest Timestamp TAI Low Register}
\subsubsection{CH5LTSTLR -- Channel 5 Latest Timestamp TAI Low Register} \label{app:conv-regs-CH5LTSTLR}
\label{app:conv-regs-ch5ltstlr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1335,15 +1478,9 @@ CH5LTSTLR ...@@ -1335,15 +1478,9 @@ CH5LTSTLR
\\ \\
Value of the TAI seconds counter bits 31..0 when time tag was taken. Value of the TAI seconds counter bits 31..0 when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH5LTSTHR - Channel 5 Latest Timestamp TAI High Register}
\label{app:conv-regs-CH5LTSTHR}
\pagebreak
\subsubsection{CH5LTSTHR -- Channel 5 Latest Timestamp TAI High Register}
\label{app:conv-regs-ch5ltsthr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -1383,14 +1520,9 @@ WRTAG ...@@ -1383,14 +1520,9 @@ WRTAG
\\ \\
1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter 1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH6LTSCYR - Channel 6 Latest Timestamp Cycles Register}
\subsubsection{CH6LTSCYR -- Channel 6 Latest Timestamp Cycles Register} \label{app:conv-regs-CH6LTSCYR}
\label{app:conv-regs-ch6ltscyr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1418,17 +1550,13 @@ WRTAG ...@@ -1418,17 +1550,13 @@ WRTAG
\item \begin{small} \item \begin{small}
{\bf {\bf
CH6LTSCYR CH6LTSCYR
} [\emph{write-only}]: Cycles counter } [\emph{read-only}]: Cycles counter
\\ \\
Value of the 8-ns cycles counter when time tag was taken. Value of the 8-ns cycles counter when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH6LTSTLR - Channel 6 Latest Timestamp TAI Low Register}
\subsubsection{CH6LTSTLR -- Channel 6 Latest Timestamp TAI Low Register} \label{app:conv-regs-CH6LTSTLR}
\label{app:conv-regs-ch6ltstlr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -1461,14 +1589,9 @@ CH6LTSTLR ...@@ -1461,14 +1589,9 @@ CH6LTSTLR
\\ \\
Value of the TAI seconds counter bits 31..0 when time tag was taken. Value of the TAI seconds counter bits 31..0 when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH6LTSTHR - Channel 6 Latest Timestamp TAI High Register}
\subsubsection{CH6LTSTHR -- Channel 6 Latest Timestamp TAI High Register} \label{app:conv-regs-CH6LTSTHR}
\label{app:conv-regs-ch6ltsthr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1507,12 +1630,8 @@ WRTAG ...@@ -1507,12 +1630,8 @@ WRTAG
\\ \\
1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter 1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{LSR - Line Status Register}
\subsubsection{LSR -- Line Status Register}
\label{app:conv-regs-lsr} \label{app:conv-regs-lsr}
\vspace{11pt} \vspace{11pt}
...@@ -1521,10 +1640,11 @@ WRTAG ...@@ -1521,10 +1640,11 @@ WRTAG
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\\hline \multicolumn{6}{|c|}{\cellcolor{gray!25}REARFS[5:0]} & \multicolumn{2}{|c|}{\cellcolor{gray!25}FRONTINVFS[3:2]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{1}{|c}{-} & - & \multicolumn{6}{|c|}{\cellcolor{gray!25}FRONTFS[5:0]}\\ \multicolumn{2}{|c|}{\cellcolor{gray!25}FRONTINVFS[1:0]} & \multicolumn{6}{|c|}{\cellcolor{gray!25}FRONTFS[5:0]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
...@@ -1550,7 +1670,7 @@ Line state at board input\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ ...@@ -1550,7 +1670,7 @@ Line state at board input\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\
FRONTINV FRONTINV
} [\emph{read-only}]: Front panel INV-TTL input state } [\emph{read-only}]: Front panel INV-TTL input state
\\ \\
Line state at board input\\ Bit 0 -- channel A\\ Bit 1 -- channel B\\ Bit 2 -- channel C\\ Bit 3 -- channel D Line state at board input\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc.
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
...@@ -1562,13 +1682,159 @@ Line state at board input\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ ...@@ -1562,13 +1682,159 @@ Line state at board input\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\
\item \begin{small} \item \begin{small}
{\bf {\bf
FRONTFS FRONTFS
} [\emph{read-only}]: TTL-BAR no signal detect state } [\emph{read-only}]: Front panel input failsafe state
\\ \\
High if no cable is plugged in while in TTL-BAR mode \\ High if line is in failsafe mode (e.g., no cable plugged in)\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc.
Unused in TTL mode \\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc.
\end{small} \end{small}
\item \begin{small} \item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined {\bf
FRONTINVFS
} [\emph{read-only}]: Front panel inverter input failsafe state
\\
High if line is in failsafe mode (e.g., no cable plugged in)\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc.
\end{small}
\item \begin{small}
{\bf
REARFS
} [\emph{read-only}]: Rear panel input failsafe state
\\
High if line is in failsafe mode (e.g., no cable plugged in)\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc.
\end{small} \end{small}
\end{itemize} \end{itemize}
\subsubsection{OSWR - Other Switch Register}
\label{app:conv-regs-OSWR}
\vspace{11pt} \vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}SWITCHES[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}SWITCHES[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}SWITCHES[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}SWITCHES[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
SWITCHES
} [\emph{read-only}]: Switch state
\\
1 -- switch is ON \\ 0 -- switch is OFF
\end{small}
\end{itemize}
\subsubsection{UIDLR - 32 LS bits of 1-wire thermometer ID}
\label{app:conv-regs-UIDLR}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}UIDLR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}UIDLR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}UIDLR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}UIDLR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
UIDLR
} [\emph{read-only}]: LS bits of 1-wire DS18B20U thermometer ID
\end{small}
\end{itemize}
\subsubsection{UIDHR - 32 MS bits of 1-wire thermometer ID}
\label{app:conv-regs-UIDHR}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}UIDHR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}UIDHR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}UIDHR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}UIDHR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
UIDHR
} [\emph{read-only}]: MS bits of 1-wire DS18B20U thermometer ID
\end{small}
\end{itemize}
\subsubsection{TEMPR - Temperature Resgister }
\label{app:conv-regs-TEMPR}
Raw temperature data from the one wire DS18B20U. The register is 2-bytes long; it translates to ${}^{o}C$ as follows: Temp = register value / 16.0
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TEMPR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TEMPR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
TEMPR
} [\emph{read-only}]: TEMP
\\
Current on-board temperature
\end{small}
\end{itemize}
...@@ -9,11 +9,11 @@ ...@@ -9,11 +9,11 @@
xmlns="http://www.w3.org/2000/svg" xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd" xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape" xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="532.37402" width="569.72034"
height="247" height="295.59348"
id="svg2" id="svg2"
version="1.1" version="1.1"
inkscape:version="0.48.3.1 r9886" inkscape:version="0.92.1 r15371"
sodipodi:docname="block-diagram.svg"> sodipodi:docname="block-diagram.svg">
<defs <defs
id="defs4"> id="defs4">
...@@ -26,9 +26,8 @@ ...@@ -26,9 +26,8 @@
style="overflow:visible"> style="overflow:visible">
<path <path
id="path5051" id="path5051"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z" d="M -2.4618667,0 1.2288,-2.1333333 v 4.2666666 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt" style="fill-rule:evenodd;stroke:#000000;stroke-width:0.42666668pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
</marker> </marker>
<marker <marker
...@@ -40,9 +39,8 @@ ...@@ -40,9 +39,8 @@
style="overflow:visible"> style="overflow:visible">
<path <path
id="path3992" id="path3992"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z" d="M 2.4618667,0 -1.2288,2.1333333 v -4.2666666 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt" style="fill-rule:evenodd;stroke:#000000;stroke-width:0.42666668pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
</marker> </marker>
<marker <marker
...@@ -54,9 +52,34 @@ ...@@ -54,9 +52,34 @@
style="overflow:visible"> style="overflow:visible">
<path <path
id="path3064" id="path3064"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z" d="M 2.4618667,0 -1.2288,2.1333333 v -4.2666666 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt" style="fill-rule:evenodd;stroke:#000000;stroke-width:0.42666668pt"
transform="scale(0.4,0.4)" inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3062-8"
style="overflow:visible">
<path
id="path3064-8"
d="M 2.4618667,0 -1.2288,2.1333333 v -4.2666666 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:0.42666668pt"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker4707"
style="overflow:visible">
<path
id="path4705"
d="M 2.4618667,0 -1.2288,2.1333333 v -4.2666666 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:0.42666668pt"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
</marker> </marker>
</defs> </defs>
...@@ -67,18 +90,18 @@ ...@@ -67,18 +90,18 @@
borderopacity="1.0" borderopacity="1.0"
inkscape:pageopacity="0.0" inkscape:pageopacity="0.0"
inkscape:pageshadow="2" inkscape:pageshadow="2"
inkscape:zoom="1.979899" inkscape:zoom="2.8"
inkscape:cx="338.63107" inkscape:cx="235.33213"
inkscape:cy="140.59862" inkscape:cy="132.49286"
inkscape:document-units="px" inkscape:document-units="px"
inkscape:current-layer="g5256" inkscape:current-layer="layer1"
showgrid="true" showgrid="true"
showguides="true" showguides="true"
inkscape:guide-bbox="true" inkscape:guide-bbox="true"
inkscape:window-width="1855" inkscape:window-width="1920"
inkscape:window-height="1176" inkscape:window-height="1138"
inkscape:window-x="65" inkscape:window-x="-8"
inkscape:window-y="24" inkscape:window-y="-8"
inkscape:window-maximized="1" inkscape:window-maximized="1"
fit-margin-top="0" fit-margin-top="0"
fit-margin-left="0" fit-margin-left="0"
...@@ -91,12 +114,15 @@ ...@@ -91,12 +114,15 @@
visible="true" visible="true"
enabled="true" enabled="true"
snapvisiblegridlinesonly="true" snapvisiblegridlinesonly="true"
originx="-123.4707px" originx="-130.49478"
originy="-739px" /> originy="-756.20328"
spacingx="1.0666667"
spacingy="1.0666667" />
<sodipodi:guide <sodipodi:guide
orientation="1,0" orientation="1,0"
position="-373.4707,331" position="-397.16145,385.13006"
id="guide2987" /> id="guide2987"
inkscape:locked="false" />
</sodipodi:namedview> </sodipodi:namedview>
<metadata <metadata
id="metadata7"> id="metadata7">
...@@ -114,749 +140,767 @@ ...@@ -114,749 +140,767 @@
inkscape:label="Layer 1" inkscape:label="Layer 1"
inkscape:groupmode="layer" inkscape:groupmode="layer"
id="layer1" id="layer1"
transform="translate(-123.4707,-66.362183)"> transform="translate(-130.49478,-70.722909)">
<g <g
id="g5717"> id="g5717">
<rect <g
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" id="g4657">
id="rect3779" <rect
width="80" y="82.519661"
height="35" x="202.66667"
x="190" height="37.333332"
y="77.362183" /> width="85.333336"
<text id="rect3779"
xml:space="preserve" style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" />
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" <text
x="230.16113" id="text3769"
y="91.217163" y="97.298309"
id="text3769" x="208.12045"
sodipodi:linespacing="125%"><tspan style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
sodipodi:role="line" xml:space="preserve"><tspan
x="230.16113" style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
y="91.217163" id="tspan3773"
id="tspan3773" y="97.298309"
style="font-size:10px;text-align:center;text-anchor:middle">TTL/TTL-BAR</tspan><tspan x="208.12045"
id="tspan3777" sodipodi:role="line">TTL/TTL-BAR</tspan><tspan
sodipodi:role="line" style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
x="230.16113" y="112.29831"
y="103.71716" x="208.12045"
style="font-size:10px;text-align:center;text-anchor:middle">input logic</tspan></text> sodipodi:role="line"
id="tspan3777">input logic</tspan></text>
</g>
</g> </g>
<g <g
id="g4084" id="g4669">
transform="translate(0,30)">
<rect <rect
y="77.362183" y="114.51966"
x="505" x="538.66669"
height="35" height="37.333332"
width="80" width="85.333336"
id="rect3799" id="rect3799"
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" />
<text <text
sodipodi:linespacing="125%"
id="text3801" id="text3801"
y="91.217163" y="129.29831"
x="545.16113" x="544.12048"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:10px;text-align:center;text-anchor:middle" style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
id="tspan3803" id="tspan3803"
y="91.217163" y="129.29831"
x="545.16113" x="544.12048"
sodipodi:role="line">TTL/TTL-BAR</tspan><tspan sodipodi:role="line">TTL/TTL-BAR</tspan><tspan
style="font-size:10px;text-align:center;text-anchor:middle" style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
y="103.71716" y="144.29831"
x="545.16113" x="544.12048"
sodipodi:role="line" sodipodi:role="line"
id="tspan3805">output logic</tspan></text> id="tspan3805">output logic</tspan></text>
</g> </g>
<g
id="g4090"
transform="translate(0,30)">
<rect
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3807"
width="80"
height="35"
x="505"
y="132.36218" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="545.16113"
y="146.21716"
id="text3809"
sodipodi:linespacing="125%"><tspan
id="tspan3813"
sodipodi:role="line"
x="545.16113"
y="146.21716"
style="font-size:10px;text-align:center;text-anchor:middle">Pulse LED</tspan><tspan
id="tspan3817"
sodipodi:role="line"
x="545.16113"
y="158.71716"
style="font-size:10px;text-align:center;text-anchor:middle">logic</tspan></text>
</g>
<path <path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 289.19685,113.76113 c 5.16063,6.88084 5.16063,10.32125 0,17.20209 12.04146,0 20.64251,0 25.80315,-8.60104 -5.16064,-8.60105 -13.76169,-8.60105 -25.80315,-8.60105 z" d="m 308.47664,121.34521 c 5.50467,7.33956 5.50467,11.00933 0,18.34889 12.84422,0 22.01868,0 27.52336,-9.17444 -5.50468,-9.17445 -14.67914,-9.17445 -27.52336,-9.17445 z"
id="path3936" id="path3936"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" /> sodipodi:nodetypes="cccc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 275,95.362183 5,0 0,21.999997 5,0" d="m 293.33333,101.71966 h 5.33334 v 23.46667 H 304"
id="path5544" id="path5544"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" /> sodipodi:nodetypes="cccc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 160,149.36218 120,0 0,-22 5,0" d="m 170.66667,159.31966 h 128 V 135.85299 H 304"
id="path5546" id="path5546"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" /> sodipodi:nodetypes="cccc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker3062)"
d="m 320,122.36218 10,0" d="M 341.33333,130.51966 H 352"
id="path3838" id="path3838"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker3062)"
d="m 480,122.36218 20,0" d="m 512,130.51966 h 21.33333"
id="path4096" id="path4096"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker3062)"
d="m 160,95.362183 25,0" d="m 170.66667,101.71966 h 26.66666"
id="path4284" id="path4284"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:end;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:end;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="140" x="165.82291"
y="94.362183" y="99.224419"
id="text4472" id="text4472"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan4474" id="tspan4474"
x="140" x="165.82291"
y="94.362183" y="99.224419"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle">TTL input</tspan><tspan style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:end;writing-mode:lr-tb;text-anchor:end;stroke-width:1.06666672">TTL input</tspan><tspan
sodipodi:role="line" sodipodi:role="line"
x="140" x="165.82291"
y="101.86218" y="105.89108"
style="font-size:6px;text-align:center;text-anchor:middle" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:end;writing-mode:lr-tb;text-anchor:end;stroke-width:1.06666672"
id="tspan4476">(front pan.)</tspan></text> id="tspan4476">(front pan.)</tspan></text>
<text <text
sodipodi:linespacing="125%"
id="text4478" id="text4478"
y="147.36218" y="155.75775"
x="140" x="165.82291"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:end;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:end;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:end;writing-mode:lr-tb;text-anchor:end;stroke-width:1.06666672"
y="147.36218" y="155.75775"
x="140" x="165.82291"
id="tspan4480" id="tspan4480"
sodipodi:role="line">Blo. input</tspan><tspan sodipodi:role="line">Blo. input</tspan><tspan
id="tspan4482" id="tspan4482"
style="font-size:6px;text-align:center;text-anchor:middle" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:end;writing-mode:lr-tb;text-anchor:end;stroke-width:1.06666672"
y="154.86218" y="162.42442"
x="140" x="165.82291"
sodipodi:role="line">(rear pan.)</tspan></text> sodipodi:role="line">(rear pan.)</tspan></text>
<text <text
sodipodi:linespacing="125%"
id="text4484" id="text4484"
y="121.36218" y="127.60365"
x="635" x="657.69427"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
y="121.36218" y="127.60365"
x="635" x="657.69427"
id="tspan4486" id="tspan4486"
sodipodi:role="line">TTL output</tspan><tspan sodipodi:role="line">TTL output</tspan><tspan
id="tspan4488" id="tspan4488"
style="font-size:6px;text-align:center;text-anchor:middle" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
y="128.86218" y="134.27032"
x="635" x="657.69427"
sodipodi:role="line">(front pan.)</tspan></text> sodipodi:role="line">(front pan.)</tspan></text>
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="635" x="657.26196"
y="149.36218" y="159.31966"
id="text4490" id="text4490"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan4492" id="tspan4492"
x="635" x="657.26196"
y="149.36218" y="159.31966"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle">Blo. output</tspan><tspan style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672">Blo. output</tspan><tspan
sodipodi:role="line" sodipodi:role="line"
x="635" x="657.26196"
y="156.86218" y="165.98633"
style="font-size:6px;text-align:center;text-anchor:middle" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
id="tspan4494">(rear pan.)</tspan></text> id="tspan4494">(rear pan.)</tspan></text>
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path4496" id="path4496"
d="m 590,122.36218 20,0" d="m 629.33333,130.51966 h 21.33334"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker3062)"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:none;marker-end:url(#marker3062)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:none;marker-end:url(#marker3062)"
d="m 490,122.36218 0,30 120,0" d="m 522.66667,130.51966 v 32 h 128"
id="path4498" id="path4498"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<g <g
id="g4887" id="g4887"
transform="translate(0,85)"> transform="translate(0,90.666667)">
<rect <g
y="132.36218" id="g4663">
x="505" <rect
height="35" style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
width="80" id="rect4889"
id="rect4889" width="85.333336"
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> height="37.333332"
<text x="538.66669"
sodipodi:linespacing="125%" y="141.18633" />
id="text4891" <text
y="146.21716" xml:space="preserve"
x="545.16113" style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" x="546.90076"
xml:space="preserve"><tspan y="155.96498"
style="font-size:10px;text-align:center;text-anchor:middle" id="text4891"><tspan
y="146.21716" id="tspan4895"
x="545.16113" sodipodi:role="line"
sodipodi:role="line" x="546.90076"
id="tspan4895">Bicolor LED</tspan><tspan y="155.96498"
style="font-size:10px;text-align:center;text-anchor:middle" style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672">Bicolor LED</tspan><tspan
y="158.71716" id="tspan4899"
x="545.16113" sodipodi:role="line"
sodipodi:role="line" x="546.90076"
id="tspan4899">logic</tspan></text> y="170.96498"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672">logic</tspan></text>
</g>
</g> </g>
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path4901" id="path4901"
d="m 480,182.36218 20,0" d="m 512,194.51966 138.66669,-2e-5"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker3062)"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker3062)"
d="m 480,234.36218 20,0" d="m 512,249.98633 h 21.33333"
id="path4907" id="path4907"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<path
inkscape:connector-curvature="0"
id="path4909"
d="m 160,292.36218 200,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#marker3062)"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
d="m 590,182.36218 20,0"
id="path5359"
inkscape:connector-curvature="0" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="635" x="657.2724"
y="177.36218" y="189.18633"
id="text5361" id="text5361"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan5363" id="tspan5363"
x="635" x="657.2724"
y="177.36218" y="189.18633"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle">Pulse LEDs</tspan><tspan style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672">Pulse LEDs</tspan><tspan
sodipodi:role="line" sodipodi:role="line"
x="635" x="657.2724"
y="184.86218" y="195.853"
style="font-size:6px;text-align:center;text-anchor:middle" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
id="tspan5365">(front and</tspan><tspan id="tspan5365">(front and</tspan><tspan
sodipodi:role="line" sodipodi:role="line"
x="635" x="657.2724"
y="192.36218" y="202.51965"
style="font-size:6px;text-align:center;text-anchor:middle" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
id="tspan5367">rear pan.)</tspan></text> id="tspan5367">rear pan.)</tspan></text>
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path5371" id="path5371"
d="m 590,234.36218 20,0" d="m 629.33333,249.98633 h 21.33334"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker3062)"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<text <text
sodipodi:linespacing="125%"
id="text5373" id="text5373"
y="232.36218" y="247.853"
x="635" x="657.26196"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
y="232.36218" y="247.853"
x="635" x="657.26196"
id="tspan5375" id="tspan5375"
sodipodi:role="line">Bicolor LEDs</tspan><tspan sodipodi:role="line">Bicolor LEDs</tspan><tspan
id="tspan5379" id="tspan5379"
style="font-size:6px;text-align:center;text-anchor:middle" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
y="239.86218" y="254.51967"
x="635" x="657.26196"
sodipodi:role="line">(front pan.)</tspan></text> sodipodi:role="line">(front pan.)</tspan></text>
<path
inkscape:connector-curvature="0"
id="path4909"
d="M 170.66667,349.85299 H 384"
style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#marker3062)"
sodipodi:nodetypes="cc" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:end;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:end;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="140" x="166.04166"
y="287.36218" y="347.78537"
id="text5383" id="text5383"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan5385" id="tspan5385"
x="140" x="166.04166"
y="287.36218" y="347.78537"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle">I<tspan style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:end;writing-mode:lr-tb;text-anchor:end;stroke-width:1.06666672">I<tspan
style="font-size:65.00091553%;baseline-shift:super" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:end;writing-mode:lr-tb;baseline-shift:super;text-anchor:end;stroke-width:1.06666672"
id="tspan5391">2</tspan>C</tspan><tspan id="tspan5391">2</tspan>C</tspan><tspan
sodipodi:role="line" sodipodi:role="line"
x="140" x="166.04166"
y="294.86218" y="354.45203"
style="font-size:6px;text-align:center;text-anchor:middle" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:end;writing-mode:lr-tb;text-anchor:end;stroke-width:1.06666672"
id="tspan5387">(VME P1)</tspan></text> id="tspan5387">(VME P1)</tspan></text>
<path <g
inkscape:connector-curvature="0" id="g4829"
id="path5393" transform="translate(0,22.757812)">
d="m 480,272.36218 130,0" <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#marker3062)" sodipodi:nodetypes="cc"
sodipodi:nodetypes="cc" /> style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#marker3062)"
<text d="M 512,290.51966 H 650.66667"
sodipodi:linespacing="125%" id="path5393"
id="text5581" inkscape:connector-curvature="0" />
y="272.36218" <text
x="635" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan x="657.33752"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle" y="290.51965"
y="272.36218" id="text5581"><tspan
x="635" sodipodi:role="line"
id="tspan5583" id="tspan5583"
sodipodi:role="line">SPI</tspan><tspan x="657.33752"
id="tspan5587" y="290.51965"
style="font-size:6px;text-align:center;text-anchor:middle" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672">SPI</tspan><tspan
y="279.86218" sodipodi:role="line"
x="635" x="657.33752"
sodipodi:role="line">(flash chip)</tspan></text> y="297.18631"
style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
id="tspan5587">(flash chip)</tspan></text>
</g>
<rect <rect
style="fill:none;stroke:#000000;stroke-width:2;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:2.26017475;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="rect3921" id="rect3921"
width="430" width="458.66666"
height="245" height="293.33331"
x="170" x="181.33333"
y="67.362183" /> y="71.852997" />
<path <circle
transform="matrix(2,0,0,2,574.78516,119.80416)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path3894" id="path3894"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="640"
<path cy="249.98633"
sodipodi:type="arc" r="2.1333334" />
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" <circle
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path5607" id="path5607"
sodipodi:cx="12.60742" cx="640"
sodipodi:cy="57.279011" cy="221.18633"
sodipodi:rx="1" r="2.1333334" />
sodipodi:ry="1" <circle
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,574.78516,92.804161)" />
<path
transform="matrix(2,0,0,2,574.78516,67.804161)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path5609" id="path5609"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="640"
<path cy="194.51967"
sodipodi:type="arc" r="2.1333334" />
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" <circle
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path5611" id="path5611"
sodipodi:cx="12.60742" cx="640"
sodipodi:cy="57.279011" cy="162.51967"
sodipodi:rx="1" r="2.1333334" />
sodipodi:ry="1" <circle
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,574.78516,37.804161)" />
<path
transform="matrix(2,0,0,2,574.78516,7.804161)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path5613" id="path5613"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="640"
<path cy="130.51967"
sodipodi:type="arc" r="2.1333334" />
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" <circle
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path5615" id="path5615"
sodipodi:cx="12.60742" cx="181.33333"
sodipodi:cy="57.279011" cy="101.71966"
sodipodi:rx="1" r="2.1333334" />
sodipodi:ry="1" <circle
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,144.78516,-19.195839)" />
<path
transform="matrix(2,0,0,2,144.78516,34.804161)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path5617" id="path5617"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="181.33333"
<path cy="159.31966"
sodipodi:type="arc" r="2.1333334" />
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" <circle
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path5619" id="path5619"
sodipodi:cx="12.60742" cx="181.33333"
sodipodi:cy="57.279011" cy="311.853"
sodipodi:rx="1" r="2.1333334" />
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,144.78516,177.80416)" />
<g <g
id="g5693" id="g5693"
transform="translate(4.9999988,0)"> transform="translate(5.3333321)">
<rect <g
y="187.36218" id="g4651">
x="185" <rect
height="35" style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
width="80" id="rect5655"
id="rect5655" width="85.333336"
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> height="37.333332"
<text x="197.33333"
sodipodi:linespacing="125%" y="199.853" />
id="text5657" <text
y="201.28308" xml:space="preserve"
x="224.84375" style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:center;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" x="239.8125"
xml:space="preserve"><tspan y="214.70195"
style="font-size:10px;text-align:center;text-anchor:middle" id="text5657"><tspan
y="201.28308" id="tspan5659"
x="224.84375" sodipodi:role="line"
sodipodi:role="line" x="239.8125"
id="tspan5659">Line</tspan><tspan y="214.70195"
id="tspan5661" style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:center;writing-mode:lr-tb;text-anchor:middle;stroke-width:1.06666672">Line</tspan><tspan
style="font-size:10px;text-align:center;text-anchor:middle" sodipodi:role="line"
y="213.78308" x="239.8125"
x="224.84375" y="229.70195"
sodipodi:role="line">input logic</tspan></text> style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:center;writing-mode:lr-tb;text-anchor:middle;stroke-width:1.06666672"
id="tspan5661">input logic</tspan></text>
</g>
</g> </g>
<path
style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker3062)"
d="m 192,101.71966 v 108.8 h 5.33333"
id="path5729"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker3062)"
d="m 186.66667,159.31966 v 67.2 h 10.66666"
id="path5731"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<g <g
id="g5699" id="g4645"
transform="translate(5.1562488,0)"> transform="translate(5.4999987,38)">
<rect <g
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" id="g4795">
id="rect5663" <rect
width="80" y="258.51965"
height="35" x="197.33333"
x="185" height="37.333332"
y="242.36218" /> width="85.333336"
<text id="rect5663"
xml:space="preserve" style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" />
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" <text
x="224.84375" id="text5665"
y="256.28308" y="273.36862"
id="text5665" x="239.8125"
sodipodi:linespacing="125%"><tspan style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:center;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
id="tspan5667" xml:space="preserve"><tspan
sodipodi:role="line" style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:center;writing-mode:lr-tb;text-anchor:middle;stroke-width:1.06666672"
x="224.84375" y="273.36862"
y="256.28308" x="239.8125"
style="font-size:10px;text-align:center;text-anchor:middle">Switch</tspan><tspan sodipodi:role="line"
sodipodi:role="line" id="tspan5667">Switch</tspan><tspan
x="224.84375" id="tspan5669"
y="268.78308" style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:center;writing-mode:lr-tb;text-anchor:middle;stroke-width:1.06666672"
style="font-size:10px;text-align:center;text-anchor:middle" y="288.36862"
id="tspan5669">input logic</tspan></text> x="239.8125"
sodipodi:role="line">input logic</tspan></text>
</g>
</g> </g>
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path5675" id="path5675"
d="m 160,262.36218 25,0" d="m 170.66667,317.85299 h 26.66666"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker3062)"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<path <circle
transform="matrix(2,0,0,2,144.78516,147.80416)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path5677" id="path5677"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="181.33333"
cy="317.853"
r="2.1333334" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:end;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:end;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="140" x="165.95311"
y="262.36218" y="316.42444"
id="text5679" id="text5679"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan5681" id="tspan5681"
x="140" x="165.95311"
y="262.36218" y="316.42444"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle">Switches</tspan><tspan style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:end;writing-mode:lr-tb;text-anchor:end;stroke-width:1.06666672">Switches</tspan><tspan
sodipodi:role="line" sodipodi:role="line"
x="140" x="165.95311"
y="269.86218" y="323.09109"
style="font-size:6px;text-align:center;text-anchor:middle" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:end;writing-mode:lr-tb;text-anchor:end;stroke-width:1.06666672"
id="tspan5683">(on board)</tspan></text> id="tspan5683">(on board)</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
d="m 66.36328,29 0,102 4.999999,0"
id="path5729"
inkscape:connector-curvature="0"
transform="translate(113.63672,66.362183)"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
d="m 61.36328,83 0,63 9.999999,0"
id="path5731"
inkscape:connector-curvature="0"
transform="translate(113.63672,66.362183)"
sodipodi:nodetypes="ccc" />
<path <path
sodipodi:nodetypes="cc" sodipodi:nodetypes="cc"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker3062)"
d="m 275,262.36218 85,0" d="M 293.33333,317.85299 H 384"
id="path5919" id="path5919"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path5921" id="path5921"
d="m 275,205.36218 85,0" d="M 293.33333,219.05299 H 384"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker3062)"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<rect
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.57331616;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="rect2989"
width="117.33334"
height="277.33334"
x="389.33334"
y="82.519638" />
<text
xml:space="preserve"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="484.26364"
y="131.58633"
id="text4024"><tspan
sodipodi:role="line"
id="tspan4026"
x="484.26364"
y="131.58633"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672">pulse_o</tspan></text>
<text
id="text4028"
y="131.58633"
x="391.44061"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
y="131.58633"
x="391.44061"
id="tspan4030"
sodipodi:role="line">pulse_i</tspan></text>
<text
xml:space="preserve"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="473.45114"
y="195.58633"
id="text4903"><tspan
sodipodi:role="line"
id="tspan4905"
x="473.45114"
y="195.58633"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672">led_pulse_o</tspan></text>
<text
id="text3928"
y="317.17459"
x="491.87039"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
y="317.17459"
x="491.87039"
sodipodi:role="line"
id="tspan3942">flash</tspan></text>
<text
xml:space="preserve"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="475.88602"
y="251.05299"
id="text3944"><tspan
id="tspan3954"
sodipodi:role="line"
x="475.88602"
y="251.05299"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672">bicolor_led</tspan></text>
<text
xml:space="preserve"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="391.63071"
y="217.98633"
id="text3970"><tspan
sodipodi:role="line"
id="tspan3972"
x="391.63071"
y="217.98633"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672">line_front_i,</tspan><tspan
sodipodi:role="line"
x="391.63071"
y="224.653"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
id="tspan3976">etc.</tspan></text>
<text
id="text3984"
y="313.25012"
x="391.63593"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan
id="tspan3988"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
y="313.25012"
x="391.63593"
sodipodi:role="line">sw_gp_i,</tspan><tspan
id="tspan3123"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
y="319.91678"
x="391.63593"
sodipodi:role="line">sw_other_i</tspan></text>
<text
xml:space="preserve"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="391.63593"
y="348.50793"
id="text3990"><tspan
sodipodi:role="line"
x="391.63593"
y="348.50793"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
id="tspan3994">scl,</tspan><tspan
sodipodi:role="line"
x="391.63593"
y="355.17459"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
id="tspan4009">sda</tspan></text>
<text
xml:space="preserve"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="463.07874"
y="351.25012"
id="text3107"><tspan
id="tspan3109"
sodipodi:role="line"
x="463.07874"
y="351.25012"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672">thermometer_b</tspan></text>
<g <g
id="g5256" id="g4639">
transform="translate(24.975586,0)">
<rect <rect
y="82.791748" y="110.33736"
x="340" x="357.5"
height="225" height="37.333332"
width="110" width="10.5"
id="rect2989" id="rect5291"
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" />
<text
sodipodi:linespacing="125%"
id="text3759"
y="92.362183"
x="395.02441"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan3763"
y="92.362183"
x="395.02441"
sodipodi:role="line">Converter board</tspan><tspan
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan3767"
y="104.86218"
x="395.02441"
sodipodi:role="line">common gateware</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4024"
y="123.36218"
x="448"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:end;text-anchor:end"
y="123.36218"
x="448"
id="tspan4026"
sodipodi:role="line">pulse_o</tspan></text>
<text <text
xml:space="preserve" id="text5293"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" y="162.51967"
x="342" x="342.14844"
y="123.36218" style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:8px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
id="text4028"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4030"
x="342"
y="123.36218"
style="font-size:6px;text-align:start;text-anchor:start">pulse_i</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4903"
y="183.36218"
x="448"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:end;text-anchor:end"
y="183.36218"
x="448"
id="tspan4905"
sodipodi:role="line">led_pulse_o</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="448"
y="273.36218"
id="text3928"
sodipodi:linespacing="125%"><tspan
id="tspan3942"
sodipodi:role="line"
x="448"
y="273.36218"
style="font-size:6px;text-align:end;text-anchor:end">flash</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3944"
y="235.36218"
x="448"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:6px;text-align:end;text-anchor:end" id="tspan5297"
y="235.36218" style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:8px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
x="448" y="162.51967"
x="342.14844"
sodipodi:role="line">First pulse</tspan><tspan
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:8px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
y="172.51967"
x="342.14844"
sodipodi:role="line" sodipodi:role="line"
id="tspan3954">bicolor_led</tspan></text> id="tspan5301">inhibit</tspan></text>
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path5305"
d="M 373.33333,130.51966 H 384"
style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker3062)" />
<g
id="g4823"
transform="translate(0,37.204692)">
<path
inkscape:connector-curvature="0"
id="path3111"
d="M 512,311.85299 H 650.66667"
style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#marker3062)"
sodipodi:nodetypes="cc" />
<text <text
sodipodi:linespacing="125%" id="text3113"
id="text3970" y="310.78632"
y="204.36218" x="657.45471"
x="342" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:6px;text-align:start;text-anchor:start" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
y="204.36218" y="310.78632"
x="342" x="657.45471"
id="tspan3972" id="tspan3115"
sodipodi:role="line">line_front_i,</tspan><tspan sodipodi:role="line">One-wire</tspan><tspan
id="tspan3976" id="tspan3117"
style="font-size:6px;text-align:start;text-anchor:start" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672"
y="211.86218" y="317.45297"
x="342" x="657.45471"
sodipodi:role="line">etc.</tspan></text> sodipodi:role="line">(thermo. chip)</tspan></text>
</g>
<g
id="g4645-0"
transform="translate(5.333345,-10.66667)">
<rect
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="rect5663-4"
width="85.333336"
height="37.333332"
x="197.33333"
y="258.51965" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:center;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="342" x="239.92676"
y="262.36218" y="273.36862"
id="text3984" id="text5665-6"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
x="342" id="tspan4938"
y="262.36218" x="239.92676"
style="font-size:6px;text-align:start;text-anchor:start" y="273.36862">PCB version</tspan><tspan
id="tspan3988">sw_gp_i,</tspan><tspan
sodipodi:role="line" sodipodi:role="line"
x="342" id="tspan4940"
y="269.86218" x="239.92676"
style="font-size:6px;text-align:start;text-anchor:start" y="288.36862">(Burst enable)</tspan></text>
id="tspan3123">sw_other_i</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3990"
y="290.36218"
x="342"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan3994"
style="font-size:6px;text-align:start;text-anchor:start"
y="290.36218"
x="342"
sodipodi:role="line">scl,</tspan><tspan
id="tspan4009"
style="font-size:6px;text-align:start;text-anchor:start"
y="297.86218"
x="342"
sodipodi:role="line">sda</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3107"
y="295.36218"
x="448"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:end;text-anchor:end"
y="295.36218"
x="448"
sodipodi:role="line"
id="tspan3109">thermometer_b</tspan></text>
</g> </g>
<rect <path
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" inkscape:connector-curvature="0"
id="rect5291" id="path5675-0"
width="9.84375" d="m 170.50001,269.18632 h 26.66666"
height="35" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker3062-8)"
x="335.15625" sodipodi:nodetypes="cc" />
y="103.44128" /> <circle
id="path5677-9"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
cx="181.16667"
cy="269.18631"
r="2.1333334" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:end;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:end;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="335" x="165.95311"
y="152.36218" y="267.75775"
id="text5293" id="text5679-7"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
x="335" id="tspan4946"
y="152.36218" x="165.95311"
style="font-size:10px;text-align:center;text-anchor:middle" y="267.75775">PCB version</tspan><tspan
id="tspan5297">First pulse</tspan><tspan
id="tspan5301"
sodipodi:role="line" sodipodi:role="line"
x="335" id="tspan4948"
y="164.86218" x="165.95311"
style="font-size:10px;text-align:center;text-anchor:middle">inhibit</tspan></text> y="274.42441">resistors</tspan></text>
<path <path
sodipodi:nodetypes="cc" sodipodi:nodetypes="cc"
inkscape:connector-curvature="0" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker3062-8)"
id="path5305" d="m 293.16667,269.18632 h 90.66667"
d="m 350,122.36218 10,0" id="path5919-7"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#marker3062)"
d="m 480,292.36218 130,0"
id="path3111"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:10.66666698px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:center;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="635" x="448"
y="291.36218" y="98.519661"
id="text3113" id="text3759"><tspan
sodipodi:linespacing="125%"><tspan sodipodi:role="line"
x="448"
y="98.519661"
id="tspan3763"
style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:10.66666698px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:center;writing-mode:lr-tb;text-anchor:middle;stroke-width:1.06666672">Converter board</tspan><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan3115" x="448"
x="635" y="111.853"
y="291.36218" id="tspan3767"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle">One-wire</tspan><tspan style="font-style:normal;font-variant:normal;font-weight:bold;font-stretch:normal;font-size:10.66666698px;font-family:Sans;-inkscape-font-specification:'Sans, Bold';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:center;writing-mode:lr-tb;text-anchor:middle;stroke-width:1.06666672">common gateware</tspan></text>
<text
id="text3984-8"
y="268.25012"
x="392.70737"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:5.33333349px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan
sodipodi:role="line" sodipodi:role="line"
x="635" id="tspan4919"
y="298.86218" x="392.70737"
style="font-size:6px;text-align:center;text-anchor:middle" y="268.25012">burst_en_n_i</tspan></text>
id="tspan3117">(thermo. chip)</tspan></text> <g
id="g4887-6"
transform="translate(-5.333337,31.999976)">
<g
id="g4663-4"
transform="translate(5.3333335)">
<rect
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="rect4889-1"
width="85.333336"
height="37.333332"
x="538.66669"
y="141.18633" />
<text
xml:space="preserve"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;line-height:125%;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="546.90076"
y="155.96498"
id="text4891-6"><tspan
id="tspan4895-4"
sodipodi:role="line"
x="546.90076"
y="155.96498"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672">PULSE LED</tspan><tspan
id="tspan4899-1"
sodipodi:role="line"
x="546.90076"
y="170.96498"
style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:12px;font-family:Sans;-inkscape-font-specification:'Sans, Normal';font-variant-ligatures:normal;font-variant-caps:normal;font-variant-numeric:normal;font-feature-settings:normal;text-align:start;writing-mode:lr-tb;text-anchor:start;stroke-width:1.06666672">logic</tspan></text>
</g>
</g>
</g> </g>
</svg> </svg>
...@@ -85,6 +85,7 @@ work, see \\ ...@@ -85,6 +85,7 @@ work, see \\
25-09-2014 & 3.00 & Version 3.0 of gateware, using the new converter board common gateware~\cite{conv-common-gw-ohwr} \newline 25-09-2014 & 3.00 & Version 3.0 of gateware, using the new converter board common gateware~\cite{conv-common-gw-ohwr} \newline
\textbf{This version changes the memory map} \\ \textbf{This version changes the memory map} \\
27-01-2015 & 3.01 & Added repository download commands to Section~\ref{sec:intro} \\ 27-01-2015 & 3.01 & Added repository download commands to Section~\ref{sec:intro} \\
17-02-2017 & 4.00 & Version 4 modifications, moved LED logic inside the common gateware entity \\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -262,8 +263,29 @@ shown in Figure~\ref{fig:switches}. ...@@ -262,8 +263,29 @@ shown in Figure~\ref{fig:switches}.
\end{figure} \end{figure}
\pagebreak \pagebreak
%==============================================================================
% SEC: PCB Version
%==============================================================================
\subsection{PCB Version}
\label{sec:pcb-ver}
CONV-TTL-BLO boards from version 4 onwards, offer the possibility for the FPGA to receive
information on the hardware version. This information is hardwired on the board in the form of
pulled-up or pulled-down resistors for each bit ~\cite{conv-ttl-blo-hwguide}.
As gateware release 4 together with hardware version 4, enables pulse repetition at higher
frequencies~\cite{conv-ttl-blo-ug}. Therefore, PCB version input lines are used to enable the so-
called \textit{burst mode} enable signal, needed by conv-common-gw component in order to enable pulse
width selection and burst mode functionality.
The PCB version I/O is therefore checked, burst mode is enabled via a comparator. if the version is 4 or higher.
The functionality is disabled for older PCBs\footnotemark\footnotetext{Since the hardware version is
not available on older boards and since the I/O pins now assigned to it were by default pulled-
down, board v3 and earlier will show the PCB version as 0)}.
\section{Output logic} \section{Output logic}
%============================================================================== %==============================================================================
% SEC: TTL/TTL-BAR output logic % SEC: TTL/TTL-BAR output logic
%============================================================================== %==============================================================================
...@@ -344,7 +366,7 @@ $reg. index = \frac{addr}{4} + 1$ ...@@ -344,7 +366,7 @@ $reg. index = \frac{addr}{4} + 1$
\hline \hline
Board registers & 0x000 & 0x0ff & Coverter board registers \\ Board registers & 0x000 & 0x0ff & Coverter board registers \\
MultiBoot & 0x100 & 0x11f & MultiBoot module \\ MultiBoot & 0x100 & 0x11f & MultiBoot module \\
One-wire master & 0x200 & 0x2ff & One-wire master for DS18B20 thermometer module \\ %One-wire master & 0x200 & 0x2ff & One-wire master for DS18B20 thermometer module \\
SDB descriptor & 0xf00 & 0xfff & SDB descriptor (see~\cite{sdb}) \\ SDB descriptor & 0xf00 & 0xfff & SDB descriptor (see~\cite{sdb}) \\
\hline \hline
\end{tabular} \end{tabular}
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment