Commit 3e33038d authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

doc: Update HDL guide to contain conv-common-gw

parent 1f1d93ac
To work with the template:
1. Copy to location of choice
2. Run the 'getstarted' script, which will:
- ask you for the file names (you give it <fname>, NO extensions, please)
- ask you for the document title
- change doc.* to <fname>.*
- add <fname> to the appropriate location in the Makefile
- change the <fname>.tex file to include <fname>.bib as bibliography
- change the title in cern-title.tex
3. Delete the 'getstarted' script
4. Write your documentation
5. Type 'make' to create your .pdf documentation file.
NOTE: You need Inkscape to generate .pdf files for the figures:
sudo apt-get install inkscape
Type 'make' to create your .pdf documentation file.
You need Inkscape to make the documentation files:
sudo apt-get install inkscape
\ No newline at end of file
......@@ -9,9 +9,9 @@
\noindent \rule{\textwidth}{.1cm}
\hfill Gateware v2.1
\hfill Gateware v3.0
\hfill April 8, 2014
\hfill September 25, 2014
\vspace*{3cm}
......
\subsection{Converter board registers}
\label{app:conv-regs}
Base address: 0x000
{
\rowcolors{2}{white}{gray!25}
\begin{longtable}{l l l p{.5\textwidth}}
\hline
\textbf{Offset} & \textbf{Reset} & \textbf{Name}
& \textbf{Description} \\
\hline
\endfirsthead
\hline
\hline
\endhead
\hline
\endfoot
0x0 & 0x54424c4f & BIDR & Board ID Register\\
0x4 & (1) & SR & Status Register\\
0x8 & 0x00000000 & CR & Control Register\\
0xc & 0x00000000 & CH1PCR & Channel 1 Pulse Counter Register\\
0x10 & 0x00000000 & CH2PCR & Channel 2 Pulse Counter Register\\
0x14 & 0x00000000 & CH3PCR & Channel 3 Pulse Counter Register\\
0x18 & 0x00000000 & CH4PCR & Channel 4 Pulse Counter Register\\
0x1c & 0x00000000 & CH5PCR & Channel 5 Pulse Counter Register\\
0x20 & 0x00000000 & CH6PCR & Channel 6 Pulse Counter Register\\
0x24 & 0x00000000 & TVLR & Time Value Low Register\\
0x28 & 0x00000000 & TVHR & Time Value High Register\\
0x2c & 0x00000000 & TBMR & Tag Buffer Meta Register\\
0x30 & 0x00000000 & TBCYR & Tag Buffer Cycles Register\\
0x34 & 0x00000000 & TBTLR & Tag Buffer TAI Low Register\\
0x38 & 0x00000000 & TBTHR & Tag Buffer TAI High Register\\
0x3c & 0x00020000 & TBCSR & Tag Buffer Control and Status Register\\
\end{longtable}
}
\noindent Note (1): The reset value of the SR cannot be specified, since it is based on the
gateware version, the state of the on-board switches and whether an RTM is plugged in or not.
\vspace{11pt}
\subsubsection{BIDR -- Board ID Register}
\label{app:conv-regs-bidr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BIDR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BIDR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BIDR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BIDR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
BIDR
} [\emph{read-only}]: ID register bits
\\
Reset value: 0x54424c4f
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{SR -- Status Register}
\label{app:conv-regs-sr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}PMISSE} & \multicolumn{1}{|c|}{\cellcolor{gray!25}I2C\_ERR}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c|}{\cellcolor{gray!25}WRPRES} & \multicolumn{1}{|c|}{\cellcolor{gray!25}I2C\_WDTO} & \multicolumn{6}{|c|}{\cellcolor{gray!25}RTM[5:0]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}SWITCHES[7:0]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}GWVERS[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
GWVERS
} [\emph{read-only}]: Gateware version
\\
Leftmost nibble hex value is major release decimal value \\ Rightmost nibble hex value is minor release decimal value \\ e.g. \\ 0x11 -- v1.1 \\ 0x2e -- v2.14
\end{small}
\item \begin{small}
{\bf
SWITCHES
} [\emph{read-only}]: Status of on-board switches (see Section~\ref{sec:switches})
\\
0 -- switch is ON \\ 1 -- switch is OFF
\end{small}
\item \begin{small}
{\bf
RTM
} [\emph{read-only}]: RTM detection lines~\cite{rtm-det}
\\
0 -- line active \\ 1 -- line inactive
\end{small}
\item \begin{small}
{\bf
I2C\_WDTO
} [\emph{read/write}]: Communication watchdog timer status
\\
1 -- timeout occured \\ 0 -- no timeout \\ This bit can be cleared by writing a '1' to it
\end{small}
\item \begin{small}
{\bf
WRPRES
} [\emph{read-only}]: White Rabbit present
\\
1 -- White Rabbit present \\ 0 -- White Rabbit not present
\end{small}
\item \begin{small}
{\bf
I2C\_ERR
} [\emph{read/write}]: I2C communication error
\\
1 -- attempted to address non-existing address \\ 0 -- idle \\ This bit can be cleared by writing a '1' to it
\end{small}
\item \begin{small}
{\bf
PMISSE
} [\emph{read/write}]: Pulse missed error
\\
1 -- input pulse rejected to safeguard blocking output stage \\ 0 -- idle \\ This bit can be cleared by writing a '1' to it
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{CR -- Control Register}
\label{app:conv-regs-cr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & \multicolumn{2}{|c|}{\cellcolor{gray!25}MPT[7:6]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{6}{|c|}{\cellcolor{gray!25}MPT[5:0]} & \multicolumn{1}{|c|}{\cellcolor{gray!25}RST} & \multicolumn{1}{|c|}{\cellcolor{gray!25}RST\_UNLOCK}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
RST\_UNLOCK
} [\emph{read/write}]: Reset unlock bit
\\
1 -- Reset bit unlocked \\ 0 -- Reset bit locked
\end{small}
\item \begin{small}
{\bf
RST
} [\emph{read/write}]: Reset bit
\\
1 -- initiate logic reset \\ 0 -- no reset
\end{small}
\item \begin{small}
{\bf
MPT
} [\emph{write-only}]: Manual Pulse Trigger
\\
Write the following sequence to trigger a pulse: \\ 0xde -- Byte 1 of magic sequence \\ 0xad -- Byte 2 of magic sequence \\ 0xbe -- Byte 3 of magic sequence \\ 0xef -- Byte 4 of magic sequence \\ Number in range 1..6 -- trigger a pulse
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{CH1PCR -- Channel 1 Pulse Counter Register}
\label{app:conv-regs-ch1pcr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1PCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1PCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1PCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1PCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
CH1PCR
} [\emph{read/write}]: Pulse counter value
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{CH2PCR -- Channel 2 Pulse Counter Register}
\label{app:conv-regs-ch2pcr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2PCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2PCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2PCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2PCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
CH2PCR
} [\emph{read/write}]: Pulse counter value
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{CH3PCR -- Channel 3 Pulse Counter Register}
\label{app:conv-regs-ch3pcr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3PCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3PCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3PCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3PCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
CH3PCR
} [\emph{read/write}]: Pulse counter value
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{CH4PCR -- Channel 4 Pulse Counter Register}
\label{app:conv-regs-ch4pcr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4PCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4PCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4PCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4PCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
CH4PCR
} [\emph{read/write}]: Pulse counter value
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{CH5PCR -- Channel 5 Pulse Counter Register}
\label{app:conv-regs-ch5pcr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5PCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5PCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5PCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5PCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
CH5PCR
} [\emph{read/write}]: Pulse counter value
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{CH6PCR -- Channel 6 Pulse Counter Register}
\label{app:conv-regs-ch6pcr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6PCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6PCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6PCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6PCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
CH6PCR
} [\emph{read/write}]: Pulse counter value
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{TVLR -- Time Value Low Register}
\label{app:conv-regs-tvlr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TVLR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TVLR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TVLR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TVLR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
TVLR
} [\emph{read/write}]: TAI seconds counter bits 31..0
\\
Writing this field resets the internal cycles counter.
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{TVHR -- Time Value High Register}
\label{app:conv-regs-tvhr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TVHR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
TVHR
} [\emph{read/write}]: TAI seconds counter bits 39..32
\\
Writing this field resets the internal cycles counter.
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{TBMR -- Tag Buffer Meta Register}
\label{app:conv-regs-tbmr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c|}{\cellcolor{gray!25}WRTAG} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{1}{|c}{-} & - & \multicolumn{6}{|c|}{\cellcolor{gray!25}CHAN[5:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
CHAN
} [\emph{read-only}]: Channel mask
\\
Mask for the channel(s) that triggered time-tag storage: \\ bit 0 -- channel 1 \\ bit 1 -- channel 2 \\ ... \\ bit 5 -- channel 6
\end{small}
\item \begin{small}
{\bf
WRTAG
} [\emph{read-only}]: White Rabbit present
\\
1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\item \begin{small}
\textbf{A read from this register advances the buffer read pointer, if the ring buffer is not empty}
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{TBCYR -- Tag Buffer Cycles Register}
\label{app:conv-regs-tbcyr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & \multicolumn{4}{|c|}{\cellcolor{gray!25}TBCYR[27:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TBCYR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TBCYR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TBCYR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
TBCYR
} [\emph{read-only}]: Cycles counter
\\
Value of the 8-ns cycles counter when time tag was taken.
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{TBTLR -- Tag Buffer TAI Low Register}
\label{app:conv-regs-tbtlr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TBTLR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TBTLR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TBTLR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TBTLR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
TBTLR
} [\emph{read-only}]: Lower part of TAI seconds counter
\\
Value of the TAI seconds counter bits 31..0 when time tag was taken.
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{TBTHR -- Tag Buffer TAI High Register}
\label{app:conv-regs-tbthr}
%\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TBTHR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
TBTHR
} [\emph{read-only}]: Upper part of TAI seconds counter
\\
Value of the TAI seconds counter bits 39..32 when time tag was taken.
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{TBCSR -- Tag Buffer Control and Status Register}
\label{app:conv-regs-tbcsr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}CLR} & \multicolumn{1}{|c|}{\cellcolor{gray!25}EMPTY} & \multicolumn{1}{|c|}{\cellcolor{gray!25}FULL}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{1}{|c}{-} & \multicolumn{7}{|c|}{\cellcolor{gray!25}USEDW[6:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
USEDW
} [\emph{read-only}]: Buffer counter
\\
Number of samples in the ring buffer
\end{small}
\item \begin{small}
{\bf
FULL
} [\emph{read-only}]: Buffer full
\\
1 -- buffer full \\ 0 -- buffer is not full
\end{small}
\item \begin{small}
{\bf
EMPTY
} [\emph{read-only}]: Buffer empty
\\
1 -- buffer empty\\ 0 -- buffer is not empty
\end{small}
\item \begin{small}
{\bf
CLR
} [\emph{write-only}]: Clear tag buffer
\\
1 -- clear\\ 0 -- no effect
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
......@@ -2,7 +2,6 @@ SRC = $(wildcard *.svg)
OBJS = $(SRC:.svg=.pdf)
all: $(OBJS)
echo $(OBJS)
%.pdf : %.svg
inkscape -f $< -A $@
......
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="400.09671"
height="378.54895"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="arch.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="TriangleOutL"
style="overflow:visible">
<path
id="path3905"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3908"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="TriangleOutL-4"
style="overflow:visible">
<path
id="path3905-9"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="marker3050"
style="overflow:visible">
<path
id="path3052"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="marker3054"
style="overflow:visible">
<path
id="path3056"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="marker3058"
style="overflow:visible">
<path
id="path3060"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="marker3062"
style="overflow:visible">
<path
id="path3064"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="marker3066"
style="overflow:visible">
<path
id="path3068"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.979899"
inkscape:cx="114.59102"
inkscape:cy="229.57576"
inkscape:document-units="px"
inkscape:current-layer="g3109"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
showborder="true" />
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-118.28683,-169.23885)">
<g
id="g3138">
<g
transform="translate(0,-15.168533)"
id="g3171">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 124.28571,202.36218 0,45.66929"
id="path2989"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="117.85714"
y="192.00504"
id="text4389"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4391"
x="117.85714"
y="192.00504"><tspan
style="font-weight:bold"
id="tspan4397">architecture </tspan>behav <tspan
style="font-weight:bold"
id="tspan4395">of </tspan>conv_ttl_blo <tspan
style="font-weight:bold"
id="tspan4393">is</tspan></tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="143.21429"
y="230.26361"
id="text4399"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4401"
x="143.21429"
y="230.26361">Type declarations</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4421"
d="m 124.28571,255.11809 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
<text
sodipodi:linespacing="125%"
id="text4423"
y="283.01953"
x="143.21429"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="283.01953"
x="143.21429"
id="tspan4425"
sodipodi:role="line">Constant declarations</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 124.28571,308.26769 0,45.66929"
id="path4427"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="143.21429"
y="336.16916"
id="text4429"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4431"
x="143.21429"
y="336.16916">Component declarations</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4433"
d="m 124.28571,361.4173 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
<text
sodipodi:linespacing="125%"
id="text4435"
y="389.31879"
x="143.21429"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="389.31879"
x="143.21429"
id="tspan4437"
sodipodi:role="line">Signal declarations</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4439"
y="432.28345"
x="117.85714"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="432.28345"
x="117.85714"
id="tspan4441"
sodipodi:role="line"
style="font-weight:bold">begin</tspan></text>
</g>
</g>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="212.3093"
y="545.94208"
id="text3218"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3220"
x="212.3093"
y="545.94208"
style="font-size:14px;font-weight:bold">(a)</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3222"
y="545.94208"
x="433.26901"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:14px;font-weight:bold"
y="545.94208"
x="433.26901"
id="tspan3224"
sodipodi:role="line">(b)</tspan></text>
<g
id="g3109">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path2989-4"
d="m 371.04188,180.37981 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
<text
sodipodi:linespacing="125%"
id="text4389-7"
y="508.00711"
x="363.52557"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-weight:bold"
y="508.00711"
x="363.52557"
id="tspan4391-9"
sodipodi:role="line">end</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4399-1"
y="208.28123"
x="389.97046"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="208.28123"
x="389.97046"
id="tspan4401-6"
sodipodi:role="line">Reset logic</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 371.04188,233.52942 0,45.66929"
id="path4421-5"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="389.97046"
y="261.43088"
id="text4423-2"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4425-2"
x="389.97046"
y="261.43088">I<tspan
id="tspan4538"
style="font-size:65.00091553%;baseline-shift:super">2</tspan>C bridge</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4427-3"
d="m 371.04188,286.67902 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
<text
sodipodi:linespacing="125%"
id="text4429-6"
y="314.58044"
x="389.97046"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="314.58044"
x="389.97046"
sodipodi:role="line"
id="tspan3051">Converter board registers</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 371.04188,339.82863 0,45.66929"
id="path4433-9"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="389.97046"
y="367.73007"
id="text4435-2"
sodipodi:linespacing="125%"><tspan
id="tspan3068"
sodipodi:role="line"
x="389.97046"
y="367.73007">Channel logic</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="363.95526"
y="176.8365"
id="text4439-2"
sodipodi:linespacing="125%"><tspan
style="font-weight:bold"
sodipodi:role="line"
id="tspan4441-7"
x="363.95526"
y="176.8365">begin</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4544"
d="m 371.04188,446.44453 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
<text
sodipodi:linespacing="125%"
id="text4546"
y="469.06522"
x="389.97046"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="469.06522"
x="389.97046"
sodipodi:role="line"
id="tspan4550">Status LEDs</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3087"
d="m 371.04188,393.12162 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
<text
sodipodi:linespacing="125%"
id="text3089"
y="421.02304"
x="389.97046"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="421.02304"
x="389.97046"
sodipodi:role="line"
id="tspan3093">MultiBoot logic</tspan></text>
</g>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="778.34839"
height="301.53174"
id="svg5856"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="bicolor-led.svg">
<defs
id="defs5858">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path4095"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker6443"
style="overflow:visible">
<path
id="path6445"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.979899"
inkscape:cx="356.35974"
inkscape:cy="123.74937"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
showguides="true"
inkscape:guide-bbox="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid5864"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
spacingx="0.5px"
spacingy="0.5px"
originx="-22.151619px"
originy="-729.75px" />
</sodipodi:namedview>
<metadata
id="metadata5861">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-22.151619,-21.080444)">
<path
inkscape:connector-curvature="0"
id="path7953"
d="m 430,49.346312 0,244.999998"
style="fill:none;stroke:#b2b2b2;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:12, 12;stroke-dashoffset:0" />
<path
style="fill:none;stroke:#b2b2b2;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:12, 12;stroke-dashoffset:0"
d="m 550,49.346312 0,244.999998"
id="path7955"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7957"
d="m 669.99999,49.346312 0,244.999998"
style="fill:none;stroke:#b2b2b2;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:12, 12;stroke-dashoffset:0" />
<path
style="fill:none;stroke:#b2b2b2;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:12, 12;stroke-dashoffset:0"
d="m 789.99998,49.346312 0,244.999998"
id="path7959"
inkscape:connector-curvature="0" />
<g
id="g9059"
transform="translate(0,-45)">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7442"
d="m 95,359.73226 102.5,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7418"
d="m 95,257.36218 102.5,0.0637"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<g
transform="matrix(0,-0.50000036,0.50000036,0,155.90547,183.937)"
id="g8196">
<g
transform="matrix(1.0583328,0,0,1,76.024588,-199.99985)"
id="g6481">
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g6474"
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)">
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path6476"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
inkscape:connector-curvature="0"
id="path6480"
d="m 67.322842,216.20147 -14.173236,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6482"
d="m 60.255113,232.15897 0,-8.22535"
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6484"
d="M 49.606299,127.55903 42.519685,120.3501"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6486"
d="m 38.976378,127.55903 -7.066929,-7.26869"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
</g>
<g
id="g6489"
transform="matrix(-1.0583328,0,0,-1,175.2745,116.37805)">
<g
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)"
id="g6491"
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none">
<path
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
id="path6493"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 67.322842,216.20147 -14.173236,0"
id="path6495"
inkscape:connector-curvature="0"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 60.255113,232.15897 0,-8.22535"
id="path6497"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="M 49.606299,127.55903 42.519685,120.3501"
id="path6499"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 38.976378,127.55903 -7.066929,-7.26869"
id="path6501"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
inkscape:connector-curvature="0"
id="path6507"
d="m 148.14953,-61.810885 19.99999,0 0,39.999972 -19.99999,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 103.14956,-61.81089 -19.999984,0 0,39.99998 19.999984,0"
id="path7029"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7031"
d="m 168.14952,-41.810899 34.99997,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 48.149601,-41.8109 34.999975,0"
id="path7033"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path5193"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="matrix(0,1.9999986,-1.9999986,0,49.716597,-261.77723)" />
<path
transform="matrix(0,1.9999986,-1.9999986,0,134.71654,-261.77723)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path7053"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<rect
ry="9.9999924"
rx="9.9999924"
transform="matrix(0,1,-1,0,0,0)"
y="-183.14951"
x="-86.810867"
height="114.99992"
width="89.999931"
id="rect7055"
style="opacity:0.98999999;fill:none;stroke:#b2b2b2;stroke-width:1.99999857;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text7060"
y="-145.14954"
x="-81.810875"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="-145.14954"
x="-81.810875"
id="tspan7062"
sodipodi:role="line">R</tspan></text>
<text
xml:space="preserve"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-15.810916"
y="-145.14954"
id="text7064"
sodipodi:linespacing="125%"
transform="matrix(0,1,-1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan7066"
x="-15.810916"
y="-145.14954">G</tspan></text>
</g>
<g
transform="matrix(0,-0.50000036,0.50000036,0,155.90547,183.937)"
id="g8169">
<g
id="g7097"
transform="matrix(1.0583328,0,0,1,76.024588,-74.99994)">
<g
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)"
id="g7099"
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none">
<path
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
id="path7101"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 67.322842,216.20147 -14.173236,0"
id="path7103"
inkscape:connector-curvature="0"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 60.255113,232.15897 0,-8.22535"
id="path7105"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="M 49.606299,127.55903 42.519685,120.3501"
id="path7107"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 38.976378,127.55903 -7.066929,-7.26869"
id="path7109"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<g
transform="matrix(-1.0583328,0,0,-1,175.2745,241.37796)"
id="g7111">
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g7113"
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)">
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path7115"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
inkscape:connector-curvature="0"
id="path7117"
d="m 67.322842,216.20147 -14.173236,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7119"
d="m 60.255113,232.15897 0,-8.22535"
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7121"
d="M 49.606299,127.55903 42.519685,120.3501"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7123"
d="m 38.976378,127.55903 -7.066929,-7.26869"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 148.14953,63.189025 19.99999,0 0,39.999975 -19.99999,0"
id="path7125"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7127"
d="m 103.14956,63.18902 -19.999984,0 0,39.99998 19.999984,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 168.14952,83.189011 34.99997,0"
id="path7129"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7131"
d="m 48.149601,83.18901 34.999975,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0,1.9999986,-1.9999986,0,49.716597,-136.77732)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path7133"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path7135"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="matrix(0,1.9999986,-1.9999986,0,134.71654,-136.77732)" />
<rect
style="opacity:0.98999999;fill:none;stroke:#b2b2b2;stroke-width:1.99999857;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect7137"
width="89.999931"
height="114.99992"
x="38.189041"
y="-183.14951"
transform="matrix(0,1,-1,0,0,0)"
rx="9.9999924"
ry="9.9999924" />
<text
xml:space="preserve"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="43.189034"
y="-145.14954"
id="text7139"
sodipodi:linespacing="125%"
transform="matrix(0,1,-1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan7141"
x="43.189034"
y="-145.14954">R</tspan></text>
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text7143"
y="-145.14954"
x="109.189"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="-145.14954"
x="109.189"
id="tspan7145"
sodipodi:role="line">G</tspan></text>
</g>
<g
transform="matrix(0,-0.50000036,0.50000036,0,155.90547,204.0007)"
id="g8223">
<g
id="g7149"
transform="matrix(1.0583328,0,0,1,-78.975302,-199.99985)">
<g
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)"
id="g7151"
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none">
<path
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
id="path7153"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 67.322842,216.20147 -14.173236,0"
id="path7155"
inkscape:connector-curvature="0"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 60.255113,232.15897 0,-8.22535"
id="path7157"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="M 49.606299,127.55903 42.519685,120.3501"
id="path7159"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 38.976378,127.55903 -7.066929,-7.26869"
id="path7161"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<g
transform="matrix(-1.0583328,0,0,-1,20.274614,116.37805)"
id="g7163">
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g7165"
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)">
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path7167"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
inkscape:connector-curvature="0"
id="path7169"
d="m 67.322842,216.20147 -14.173236,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7171"
d="m 60.255113,232.15897 0,-8.22535"
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7173"
d="M 49.606299,127.55903 42.519685,120.3501"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7175"
d="m 38.976378,127.55903 -7.066929,-7.26869"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m -6.8503602,-61.810885 19.9999862,0 0,39.999972 -19.9999862,0"
id="path7177"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7179"
d="m -51.850328,-61.81089 -19.999985,0 0,39.99998 19.999985,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 13.149626,-41.810899 34.999975,0"
id="path7181"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7183"
d="m -106.85029,-41.8109 34.999977,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0,1.9999986,-1.9999986,0,-105.28329,-261.77723)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path7185"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path7187"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="matrix(0,1.9999986,-1.9999986,0,-20.283354,-261.77723)" />
<rect
style="opacity:0.98999999;fill:none;stroke:#b2b2b2;stroke-width:1.99999857;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect7189"
width="89.999931"
height="114.99992"
x="-86.810867"
y="-28.149611"
transform="matrix(0,1,-1,0,0,0)"
rx="9.9999924"
ry="9.9999924" />
<text
xml:space="preserve"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-81.810875"
y="9.8503561"
id="text7191"
sodipodi:linespacing="125%"
transform="matrix(0,1,-1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan7193"
x="-81.810875"
y="9.8503561">R</tspan></text>
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text7195"
y="9.8503561"
x="-15.810916"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="9.8503561"
x="-15.810916"
id="tspan7197"
sodipodi:role="line">G</tspan></text>
</g>
<g
transform="matrix(0,-0.50000036,0.50000036,0,155.90547,204.0007)"
id="g8250">
<g
transform="matrix(1.0583328,0,0,1,-78.975302,-74.99994)"
id="g7201">
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g7203"
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)">
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path7205"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
inkscape:connector-curvature="0"
id="path7207"
d="m 67.322842,216.20147 -14.173236,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7209"
d="m 60.255113,232.15897 0,-8.22535"
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7211"
d="M 49.606299,127.55903 42.519685,120.3501"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7213"
d="m 38.976378,127.55903 -7.066929,-7.26869"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
</g>
<g
id="g7215"
transform="matrix(-1.0583328,0,0,-1,20.274614,241.37796)">
<g
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)"
id="g7217"
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none">
<path
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
id="path7219"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 67.322842,216.20147 -14.173236,0"
id="path7221"
inkscape:connector-curvature="0"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 60.255113,232.15897 0,-8.22535"
id="path7223"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="M 49.606299,127.55903 42.519685,120.3501"
id="path7225"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 38.976378,127.55903 -7.066929,-7.26869"
id="path7227"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
inkscape:connector-curvature="0"
id="path7229"
d="m -6.8503602,63.189025 19.9999862,0 0,39.999975 -19.9999862,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m -51.850328,63.18902 -19.999985,0 0,39.99998 19.999985,0"
id="path7231"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7233"
d="m 13.149626,83.189011 34.999975,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m -106.85029,83.18901 34.999977,0"
id="path7235"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path7237"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="matrix(0,1.9999986,-1.9999986,0,-105.28329,-136.77732)" />
<path
transform="matrix(0,1.9999986,-1.9999986,0,-20.283354,-136.77732)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path7239"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<rect
ry="9.9999924"
rx="9.9999924"
transform="matrix(0,1,-1,0,0,0)"
y="-28.149611"
x="38.189041"
height="114.99992"
width="89.999931"
id="rect7241"
style="opacity:0.98999999;fill:none;stroke:#b2b2b2;stroke-width:1.99999857;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text7243"
y="9.8503561"
x="43.189034"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="9.8503561"
x="43.189034"
id="tspan7245"
sodipodi:role="line">R</tspan></text>
<text
xml:space="preserve"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="109.189"
y="9.8503561"
id="text7247"
sodipodi:linespacing="125%"
transform="matrix(0,1,-1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan7249"
x="109.189"
y="9.8503561">G</tspan></text>
</g>
<g
transform="matrix(0,-0.50000036,0.50000036,0,155.90547,228.937)"
id="g8304">
<g
transform="matrix(1.0583328,0,0,1,-233.9752,-199.99985)"
id="g7253">
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g7255"
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)">
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path7257"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
inkscape:connector-curvature="0"
id="path7259"
d="m 67.322842,216.20147 -14.173236,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7261"
d="m 60.255113,232.15897 0,-8.22535"
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7263"
d="M 49.606299,127.55903 42.519685,120.3501"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7265"
d="m 38.976378,127.55903 -7.066929,-7.26869"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
</g>
<g
id="g7267"
transform="matrix(-1.0583328,0,0,-1,-134.72528,116.37805)">
<g
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)"
id="g7269"
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none">
<path
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
id="path7271"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 67.322842,216.20147 -14.173236,0"
id="path7273"
inkscape:connector-curvature="0"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 60.255113,232.15897 0,-8.22535"
id="path7275"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="M 49.606299,127.55903 42.519685,120.3501"
id="path7277"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 38.976378,127.55903 -7.066929,-7.26869"
id="path7279"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
inkscape:connector-curvature="0"
id="path7281"
d="m -161.85025,-61.810885 19.99999,0 0,39.999972 -19.99999,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m -206.85022,-61.81089 -19.99999,0 0,39.99998 19.99999,0"
id="path7283"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7285"
d="m -141.85026,-41.810899 34.99997,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m -261.85018,-41.8109 34.99997,0"
id="path7287"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path7289"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="matrix(0,1.9999986,-1.9999986,0,-260.28319,-261.77723)" />
<path
transform="matrix(0,1.9999986,-1.9999986,0,-175.28325,-261.77723)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path7291"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<rect
ry="9.9999924"
rx="9.9999924"
transform="matrix(0,1,-1,0,0,0)"
y="126.85028"
x="-86.810867"
height="114.99992"
width="89.999931"
id="rect7293"
style="opacity:0.98999999;fill:none;stroke:#b2b2b2;stroke-width:1.99999857;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text7295"
y="164.85025"
x="-81.810875"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="164.85025"
x="-81.810875"
id="tspan7297"
sodipodi:role="line">R</tspan></text>
<text
xml:space="preserve"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-15.810916"
y="164.85025"
id="text7299"
sodipodi:linespacing="125%"
transform="matrix(0,1,-1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan7301"
x="-15.810916"
y="164.85025">G</tspan></text>
</g>
<g
transform="matrix(0,-0.50000036,0.50000036,0,155.90547,228.937)"
id="g8277">
<g
id="g7305"
transform="matrix(1.0583328,0,0,1,-233.9752,-74.99994)">
<g
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)"
id="g7307"
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none">
<path
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
id="path7309"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 67.322842,216.20147 -14.173236,0"
id="path7311"
inkscape:connector-curvature="0"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 60.255113,232.15897 0,-8.22535"
id="path7313"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="M 49.606299,127.55903 42.519685,120.3501"
id="path7315"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 38.976378,127.55903 -7.066929,-7.26869"
id="path7317"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<g
transform="matrix(-1.0583328,0,0,-1,-134.72528,241.37796)"
id="g7319">
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g7321"
transform="matrix(0,-2.115517,2.115517,0,-448.10547,265.65968)">
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path7323"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
inkscape:connector-curvature="0"
id="path7325"
d="m 67.322842,216.20147 -14.173236,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7327"
d="m 60.255113,232.15897 0,-8.22535"
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7329"
d="M 49.606299,127.55903 42.519685,120.3501"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7331"
d="m 38.976378,127.55903 -7.066929,-7.26869"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m -161.85025,63.189025 19.99999,0 0,39.999975 -19.99999,0"
id="path7333"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7335"
d="m -206.85022,63.18902 -19.99999,0 0,39.99998 19.99999,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m -141.85026,83.189011 34.99997,0"
id="path7337"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7339"
d="m -261.85018,83.18901 34.99997,0"
style="fill:none;stroke:#000000;stroke-width:0.99999928;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0,1.9999986,-1.9999986,0,-260.28319,-136.77732)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path7341"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path7343"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="matrix(0,1.9999986,-1.9999986,0,-175.28325,-136.77732)" />
<rect
style="opacity:0.98999999;fill:none;stroke:#b2b2b2;stroke-width:1.99999857;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect7345"
width="89.999931"
height="114.99992"
x="38.189041"
y="126.85028"
transform="matrix(0,1,-1,0,0,0)"
rx="9.9999924"
ry="9.9999924" />
<text
xml:space="preserve"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="43.189034"
y="164.85025"
id="text7347"
sodipodi:linespacing="125%"
transform="matrix(0,1,-1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan7349"
x="43.189034"
y="164.85025">R</tspan></text>
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text7351"
y="164.85025"
x="109.189"
style="font-size:19.99998665px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="164.85025"
x="109.189"
id="tspan7353"
sodipodi:role="line">G</tspan></text>
</g>
<rect
transform="scale(-1,-1)"
style="opacity:0.98999999;fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect7361"
width="15"
height="5.0000005"
x="-95"
y="-84.862183"
rx="0"
ry="0" />
<rect
transform="scale(-1,-1)"
ry="0"
rx="0"
y="-72.362183"
x="-95"
height="5.0000005"
width="15"
id="rect7367"
style="opacity:0.98999999;fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 82.28346,159.86218 -20.000004,0"
id="path7414"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 95,159.86218 102.50001,0"
id="path7416"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7420"
d="m 82.283455,257.42588 -19.999999,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 88.78346,156.36218 0,-11.5 -26.500004,0"
id="path7422"
inkscape:connector-curvature="0" />
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 82.283455,154.77556 0,12.71654 L 95,159.86218 82.283455,152.23226 z"
id="path3785"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7424"
d="m 88.78346,253.79596 0,-11.5 -26.500004,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path7426"
d="m 82.283455,252.20934 0,12.71654 L 95,257.29596 82.283455,249.66604 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="59.783459"
y="164.86218"
id="text7428"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7430"
x="59.783459"
y="164.86218"
style="text-align:end;text-anchor:end">L1</tspan></text>
<text
sodipodi:linespacing="125%"
id="text7432"
y="147.36218"
x="59.783459"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="147.36218"
x="59.783459"
id="tspan7434"
sodipodi:role="line">L1_OEN</tspan></text>
<path
transform="translate(25.016761,376.35034)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path7436"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
inkscape:connector-curvature="0"
id="path7440"
d="m 82.283455,359.73226 -19.999999,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path7444"
d="m 88.78346,356.23226 0,-11.5 -26.500004,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path7446"
d="m 82.283455,354.64565 0,12.71653 L 95,359.73226 82.283455,352.10234 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text7448"
y="262.4259"
x="59.783459"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="262.4259"
x="59.783459"
id="tspan7450"
sodipodi:role="line">L2</tspan></text>
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="59.783459"
y="244.92589"
id="text7452"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7454"
x="59.783459"
y="244.92589"
style="text-align:end;text-anchor:end">L2_OEN</tspan></text>
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="59.783459"
y="364.86221"
id="text7456"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7458"
x="59.783459"
y="364.86221"
style="text-align:end;text-anchor:end">L3</tspan></text>
<text
sodipodi:linespacing="125%"
id="text7460"
y="347.36221"
x="59.783459"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="347.36221"
x="59.783459"
id="tspan7462"
sodipodi:role="line">L3_OEN</tspan></text>
<text
sodipodi:linespacing="125%"
id="text7468"
y="73.502319"
x="57.500004"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="73.502319"
x="57.500004"
id="tspan7470"
sodipodi:role="line">C1</tspan></text>
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="57.500004"
y="86.002319"
id="text7472"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7474"
x="57.500004"
y="86.002319"
style="text-align:end;text-anchor:end">C2</tspan></text>
<path
inkscape:connector-curvature="0"
id="path9021"
d="m 80,82.362183 -20,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 80,69.862181 -20,0"
id="path9023"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path9025"
d="m 95,82.362183 40,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="ccc"
inkscape:connector-curvature="0"
id="path9027"
d="m 197.5,82.362183 0,-12.5 -102.5,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path9031"
d="m 107.5,82.362183 0,94.999997 27.5,0 0,2.5"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="ccccc"
inkscape:connector-curvature="0"
id="path9033"
d="m 197.5,69.862183 27.5,0 0,107.499997 -27.5,0 0,2.5"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path9035"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(25.016761,274.07868)" />
<path
transform="translate(25.016761,176.57868)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path9037"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path9039"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(-2.4832382,99.078684)" />
<path
transform="translate(87.516762,86.578682)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path9041"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
transform="translate(-2.4832382,194.07868)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path9043"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path9045"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(115.01676,194.07868)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 107.5,177.36218 0,102.5 27.5,0 0,2.5"
id="path9047"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path9049"
d="m 225,177.36218 0,102.5 -27.5,0 0,2.5"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
<g
id="g9279"
transform="translate(0,16.984131)">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 320,72.362185 10,0 0,-15.000001 19.99999,0 0,15.000001 20.00001,0 0,-15.000001 20,0 0,15.000001 20,0 0,-15.000001 20,0 0,15.000001 10,0"
id="path7698"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7700"
d="m 439.99999,72.362185 10,0 0,-15.000001 20,0 0,15.000001 20,0 0,-15.000001 20,0 0,15.000001 20,0 0,-15.000001 20,0 0,15.000001 10.00001,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
inkscape:connector-curvature="0"
id="path7702"
d="m 560,72.362185 10,0 0,-15.000001 20,0 0,15.000001 20,0 0,-15.000001 20,0 0,15.000001 20,0 0,-15.000001 19.99999,0 0,15.000001 10.00001,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 680,72.362185 10,0 0,-15.000001 19.99997,0 0,15.000001 20.00001,0 0,-15.000001 20.00002,0 0,15.000001 19.99996,0 0,-15.000001 20.00002,0 0,15.000001 10,0"
id="path7704"
inkscape:connector-curvature="0" />
</g>
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="299.99997"
y="85.491333"
id="text7899"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7901"
x="299.99997"
y="85.491333"
style="text-align:end;text-anchor:end">Ln</tspan></text>
<text
sodipodi:linespacing="125%"
id="text7903"
y="124.37805"
x="300"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="124.37805"
x="300"
id="tspan7905"
sodipodi:role="line">L1_OEN</tspan></text>
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="300"
y="164.37805"
id="text7913"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7915"
x="300"
y="164.37805"
style="text-align:end;text-anchor:end">L2_OEN</tspan></text>
<text
sodipodi:linespacing="125%"
id="text7917"
y="204.37805"
x="300"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="204.37805"
x="300"
id="tspan7919"
sodipodi:role="line">L3_OEN</tspan></text>
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="300"
y="245.48645"
id="text7921"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7923"
x="300"
y="245.48645"
style="text-align:end;text-anchor:end">Cn</tspan></text>
<text
sodipodi:linespacing="125%"
id="text7925"
y="284.99719"
x="300"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="284.99719"
x="300"
id="tspan7927"
sodipodi:role="line">LED Ln/Cn</tspan></text>
<g
id="g9273"
transform="translate(0,16.984131)">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 320,97.362181 30,0 0,14.999999 80,0 0,-14.999999 10,0"
id="path7929"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7933"
d="m 440,97.362183 30,0 0,14.999997 80,0 0,-14.999997 10,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 560,97.362183 30,0 0,14.999997 79.99999,0 0,-14.999997 10.00001,0"
id="path7935"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path7937"
d="m 680,97.362183 30.00002,0 0,14.999997 79.99996,0 0,-14.999997 10,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<g
id="g9270"
transform="translate(0,16.984131)">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 320,152.36218 30,0 0,-15 40,0 0,15 80,0 0,-15 40,0 0,15 80,0 0,-15 40,0 0,15 80,0 0,-15 40,0 0,15 50,0"
id="path7939"
inkscape:connector-curvature="0" />
</g>
<g
id="g9267"
transform="translate(0,16.984131)">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 320,192.36218 70,0 0,-15 40,0 0,15 40,0 40,0 0,-15 40,0 0,15 80,0 0,-15 40,0 0,15 80,0 0,-15 40,0 0,15 10,0"
id="path7941"
inkscape:connector-curvature="0" />
</g>
<g
id="g9262"
transform="translate(0,16.984131)">
<path
inkscape:connector-curvature="0"
id="path7947"
d="m 320,232.36218 10,0 0,-15 19.99999,0 0,15 20.00001,0 0,-15 20,0 0,15 20,0 0,-15 20,0 0,15 10,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 440,232.36218 110,0 0,-15 120,0 9.99999,0"
id="path7949"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccccc" />
<path
inkscape:connector-curvature="0"
id="path7951"
d="m 680,217.36218 10,0 0,15 19.99997,0 0,-15 20.00001,0 0,15 20.00002,0 0,-15 19.99996,0 0,15 20.00002,0 0,-15 10,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<text
sodipodi:linespacing="125%"
id="text7961"
y="286.84631"
x="355"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:14px;font-weight:bold"
y="286.84631"
x="355"
id="tspan7963"
sodipodi:role="line">OFF</tspan></text>
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="494.57764"
y="286.84631"
id="text7965"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7967"
x="494.57764"
y="286.84631"
style="font-size:14px;font-weight:bold;text-align:center;text-anchor:middle">GREEN</tspan></text>
<text
sodipodi:linespacing="125%"
id="text8331"
y="59.346306"
x="490"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan8335"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1"
y="59.346306"
x="490"
sodipodi:role="line">Refresh period</tspan></text>
<g
transform="matrix(0,-0.50000036,0.50000036,0,154.90547,200.92113)"
id="g8533"
style="stroke:#b2b2b2;stroke-opacity:1">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path8525"
d="m 288.14943,583.18862 0,-19.99997"
style="fill:none;stroke:#b2b2b2;stroke-width:3.99999714;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cccc"
style="fill:none;stroke:#b2b2b2;stroke-width:3.99999714;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 290.14943,563.18865 -4,0 2,-2.99999 z"
id="path8527"
inkscape:connector-curvature="0" />
</g>
<g
id="g8537"
transform="matrix(0,0.50000036,-0.50000036,0,825.59455,-87.228509)"
style="stroke:#b2b2b2;stroke-opacity:1">
<path
style="fill:none;stroke:#b2b2b2;stroke-width:3.99999714;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 288.14943,583.18862 0,-19.99997"
id="path8539"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
inkscape:connector-curvature="0"
id="path8541"
d="m 290.14943,563.18865 -4,0 2,-2.99999 z"
style="fill:none;stroke:#b2b2b2;stroke-width:3.99999714;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="cccc" />
</g>
<text
sodipodi:linespacing="125%"
id="text9051"
y="286.85315"
x="610"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:14px;font-weight:bold;text-align:center;text-anchor:middle"
y="286.85315"
x="610"
id="tspan9053"
sodipodi:role="line">RED</tspan></text>
<text
xml:space="preserve"
style="font-size:10.00000095px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="735"
y="286.84631"
id="text9055"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan9057"
x="735"
y="286.84631"
style="font-size:14px;font-weight:bold;text-align:center;text-anchor:middle">ORANGE</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 140,70.362182 10,0"
id="path3290"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path3292"
d="m 120,81.862182 10,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 182.5,81.862182 10,0"
id="path3296"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path3298"
d="m 202.5,70.362182 10,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
inkscape:connector-curvature="0"
id="path3300"
d="m 140,168.36218 10,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 120,179.86218 10,0"
id="path3302"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path3304"
d="m 182.5,179.86218 10,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 202.5,168.36218 10,0"
id="path3306"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 140,270.36218 10,0"
id="path3308"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path3310"
d="m 120,281.86218 10,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 182.5,281.86218 10,0"
id="path3312"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path3314"
d="m 202.5,270.36218 10,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="532.25977"
height="247"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="block-diagram.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="TriangleInM"
style="overflow:visible">
<path
id="path5051"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3992"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3062"
style="overflow:visible">
<path
id="path3064"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8"
inkscape:cx="198.93161"
inkscape:cy="87.356895"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
showguides="true"
inkscape:guide-bbox="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid2985"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
originx="-123.4707px"
originy="-739px" />
<sodipodi:guide
orientation="1,0"
position="-373.4707,331"
id="guide2987" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-123.4707,-66.362183)">
<g
id="g5717">
<rect
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3779"
width="80"
height="35"
x="190"
y="77.362183" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="230.16113"
y="91.217163"
id="text3769"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="230.16113"
y="91.217163"
id="tspan3773"
style="font-size:10px;text-align:center;text-anchor:middle">TTL/TTL-BAR</tspan><tspan
id="tspan3777"
sodipodi:role="line"
x="230.16113"
y="103.71716"
style="font-size:10px;text-align:center;text-anchor:middle">input logic</tspan></text>
</g>
<g
id="g4084"
transform="translate(0,30)">
<rect
y="77.362183"
x="505"
height="35"
width="80"
id="rect3799"
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text3801"
y="91.217163"
x="545.16113"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;text-align:center;text-anchor:middle"
id="tspan3803"
y="91.217163"
x="545.16113"
sodipodi:role="line">TTL/TTL-BAR</tspan><tspan
style="font-size:10px;text-align:center;text-anchor:middle"
y="103.71716"
x="545.16113"
sodipodi:role="line"
id="tspan3805">output logic</tspan></text>
</g>
<g
id="g4090"
transform="translate(0,30)">
<rect
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3807"
width="80"
height="35"
x="505"
y="132.36218" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="545.16113"
y="146.21716"
id="text3809"
sodipodi:linespacing="125%"><tspan
id="tspan3813"
sodipodi:role="line"
x="545.16113"
y="146.21716"
style="font-size:10px;text-align:center;text-anchor:middle">Pulse LED</tspan><tspan
id="tspan3817"
sodipodi:role="line"
x="545.16113"
y="158.71716"
style="font-size:10px;text-align:center;text-anchor:middle">logic</tspan></text>
</g>
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 289.19685,113.76113 c 5.16063,6.88084 5.16063,10.32125 0,17.20209 12.04146,0 20.64251,0 25.80315,-8.60104 -5.16064,-8.60105 -13.76169,-8.60105 -25.80315,-8.60105 z"
id="path3936"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 275,95.362183 5,0 0,21.999997 5,0"
id="path5544"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 160,149.36218 120,0 0,-22 5,0"
id="path5546"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
d="m 320,122.36218 10,0"
id="path3838"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
d="m 480,122.36218 20,0"
id="path4096"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
d="m 160,95.362183 25,0"
id="path4284"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="140"
y="94.362183"
id="text4472"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4474"
x="140"
y="94.362183"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle">TTL input</tspan><tspan
sodipodi:role="line"
x="140"
y="101.86218"
style="font-size:6px;text-align:center;text-anchor:middle"
id="tspan4476">(front pan.)</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4478"
y="147.36218"
x="140"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle"
y="147.36218"
x="140"
id="tspan4480"
sodipodi:role="line">Blo. input</tspan><tspan
id="tspan4482"
style="font-size:6px;text-align:center;text-anchor:middle"
y="154.86218"
x="140"
sodipodi:role="line">(rear pan.)</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4484"
y="121.36218"
x="635"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle"
y="121.36218"
x="635"
id="tspan4486"
sodipodi:role="line">TTL output</tspan><tspan
id="tspan4488"
style="font-size:6px;text-align:center;text-anchor:middle"
y="128.86218"
x="635"
sodipodi:role="line">(front pan.)</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="635"
y="149.36218"
id="text4490"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4492"
x="635"
y="149.36218"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle">Blo. output</tspan><tspan
sodipodi:role="line"
x="635"
y="156.86218"
style="font-size:6px;text-align:center;text-anchor:middle"
id="tspan4494">(rear pan.)</tspan></text>
<path
inkscape:connector-curvature="0"
id="path4496"
d="m 590,122.36218 20,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:none;marker-end:url(#marker3062)"
d="m 490,122.36218 0,30 120,0"
id="path4498"
inkscape:connector-curvature="0" />
<g
id="g4887"
transform="translate(0,85)">
<rect
y="132.36218"
x="505"
height="35"
width="80"
id="rect4889"
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text4891"
y="146.21716"
x="545.16113"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;text-align:center;text-anchor:middle"
y="146.21716"
x="545.16113"
sodipodi:role="line"
id="tspan4895">Bicolor LED</tspan><tspan
style="font-size:10px;text-align:center;text-anchor:middle"
y="158.71716"
x="545.16113"
sodipodi:role="line"
id="tspan4899">logic</tspan></text>
</g>
<path
inkscape:connector-curvature="0"
id="path4901"
d="m 480,182.36218 20,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
d="m 480,234.36218 20,0"
id="path4907"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
inkscape:connector-curvature="0"
id="path4909"
d="m 160,292.36218 200,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#marker3062)"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
d="m 590,182.36218 20,0"
id="path5359"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="635"
y="177.36218"
id="text5361"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5363"
x="635"
y="177.36218"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle">Pulse LEDs</tspan><tspan
sodipodi:role="line"
x="635"
y="184.86218"
style="font-size:6px;text-align:center;text-anchor:middle"
id="tspan5365">(front and</tspan><tspan
sodipodi:role="line"
x="635"
y="192.36218"
style="font-size:6px;text-align:center;text-anchor:middle"
id="tspan5367">rear pan.)</tspan></text>
<path
inkscape:connector-curvature="0"
id="path5371"
d="m 590,234.36218 20,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text5373"
y="232.36218"
x="635"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle"
y="232.36218"
x="635"
id="tspan5375"
sodipodi:role="line">Bicolor LEDs</tspan><tspan
id="tspan5379"
style="font-size:6px;text-align:center;text-anchor:middle"
y="239.86218"
x="635"
sodipodi:role="line">(front pan.)</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="140"
y="287.36218"
id="text5383"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5385"
x="140"
y="287.36218"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle">I<tspan
style="font-size:65.00091553%;baseline-shift:super"
id="tspan5391">2</tspan>C</tspan><tspan
sodipodi:role="line"
x="140"
y="294.86218"
style="font-size:6px;text-align:center;text-anchor:middle"
id="tspan5387">(VME P1)</tspan></text>
<path
inkscape:connector-curvature="0"
id="path5393"
d="m 480,272.36218 130,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#marker3062)"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text5581"
y="272.36218"
x="635"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle"
y="272.36218"
x="635"
id="tspan5583"
sodipodi:role="line">SPI</tspan><tspan
id="tspan5587"
style="font-size:6px;text-align:center;text-anchor:middle"
y="279.86218"
x="635"
sodipodi:role="line">(flash chip)</tspan></text>
<rect
style="fill:none;stroke:#000000;stroke-width:2;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3921"
width="430"
height="245"
x="170"
y="67.362183" />
<path
transform="matrix(2,0,0,2,574.78516,119.80416)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path3894"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5607"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,574.78516,92.804161)" />
<path
transform="matrix(2,0,0,2,574.78516,67.804161)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path5609"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5611"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,574.78516,37.804161)" />
<path
transform="matrix(2,0,0,2,574.78516,7.804161)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path5613"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5615"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,144.78516,-19.195839)" />
<path
transform="matrix(2,0,0,2,144.78516,34.804161)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path5617"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5619"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,144.78516,177.80416)" />
<g
id="g5693"
transform="translate(4.9999988,0)">
<rect
y="187.36218"
x="185"
height="35"
width="80"
id="rect5655"
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text5657"
y="201.28308"
x="224.84375"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;text-align:center;text-anchor:middle"
y="201.28308"
x="224.84375"
sodipodi:role="line"
id="tspan5659">Line</tspan><tspan
id="tspan5661"
style="font-size:10px;text-align:center;text-anchor:middle"
y="213.78308"
x="224.84375"
sodipodi:role="line">input logic</tspan></text>
</g>
<g
id="g5699"
transform="translate(5.1562488,0)">
<rect
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect5663"
width="80"
height="35"
x="185"
y="242.36218" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="224.84375"
y="256.28308"
id="text5665"
sodipodi:linespacing="125%"><tspan
id="tspan5667"
sodipodi:role="line"
x="224.84375"
y="256.28308"
style="font-size:10px;text-align:center;text-anchor:middle">Switch</tspan><tspan
sodipodi:role="line"
x="224.84375"
y="268.78308"
style="font-size:10px;text-align:center;text-anchor:middle"
id="tspan5669">input logic</tspan></text>
</g>
<path
inkscape:connector-curvature="0"
id="path5675"
d="m 160,262.36218 25,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
sodipodi:nodetypes="cc" />
<path
transform="matrix(2,0,0,2,144.78516,147.80416)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path5677"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="140"
y="262.36218"
id="text5679"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5681"
x="140"
y="262.36218"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle">Switches</tspan><tspan
sodipodi:role="line"
x="140"
y="269.86218"
style="font-size:6px;text-align:center;text-anchor:middle"
id="tspan5683">(on board)</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
d="m 66.36328,29 0,102 4.999999,0"
id="path5729"
inkscape:connector-curvature="0"
transform="translate(113.63672,66.362183)"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
d="m 61.36328,83 0,63 9.999999,0"
id="path5731"
inkscape:connector-curvature="0"
transform="translate(113.63672,66.362183)"
sodipodi:nodetypes="ccc" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
d="m 275,262.36218 85,0"
id="path5919"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path5921"
d="m 275,205.36218 85,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
sodipodi:nodetypes="cc" />
<g
id="g5256"
transform="translate(24.975586,0)">
<rect
y="77.362183"
x="340"
height="225"
width="110"
id="rect2989"
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text3759"
y="92.362183"
x="395.02441"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan3763"
y="92.362183"
x="395.02441"
sodipodi:role="line">Converter board</tspan><tspan
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan3767"
y="104.86218"
x="395.02441"
sodipodi:role="line">common gateware</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4024"
y="123.36218"
x="448"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:end;text-anchor:end"
y="123.36218"
x="448"
id="tspan4026"
sodipodi:role="line">pulse_o</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="342"
y="123.36218"
id="text4028"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4030"
x="342"
y="123.36218"
style="font-size:6px;text-align:start;text-anchor:start">pulse_i</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4903"
y="183.36218"
x="448"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:end;text-anchor:end"
y="183.36218"
x="448"
id="tspan4905"
sodipodi:role="line">led_pulse_o</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="448"
y="272.36218"
id="text3928"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3930"
x="448"
y="272.36218"
style="font-size:6px;text-align:end;text-anchor:end">spi_cs_n_o</tspan><tspan
id="tspan3934"
sodipodi:role="line"
x="448"
y="279.86218"
style="font-size:6px;text-align:end;text-anchor:end">spi_sclk_o</tspan><tspan
id="tspan3938"
sodipodi:role="line"
x="448"
y="287.36218"
style="font-size:6px;text-align:end;text-anchor:end">spi_mosi_o</tspan><tspan
id="tspan3936"
sodipodi:role="line"
x="448"
y="294.86218"
style="font-size:6px;text-align:end;text-anchor:end">spi_miso_i</tspan><tspan
id="tspan3942"
sodipodi:role="line"
x="448"
y="302.36218"
style="font-size:6px;text-align:end;text-anchor:end" /></text>
<text
sodipodi:linespacing="125%"
id="text3944"
y="227.36218"
x="448"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:end;text-anchor:end"
y="227.36218"
x="448"
id="tspan3946"
sodipodi:role="line">spi_cs_n_o</tspan><tspan
style="font-size:6px;text-align:end;text-anchor:end"
y="234.86218"
x="448"
sodipodi:role="line"
id="tspan3948">spi_sclk_o</tspan><tspan
style="font-size:6px;text-align:end;text-anchor:end"
y="242.36218"
x="448"
sodipodi:role="line"
id="tspan3950">spi_mosi_o</tspan><tspan
style="font-size:6px;text-align:end;text-anchor:end"
y="249.86218"
x="448"
sodipodi:role="line"
id="tspan3952">spi_miso_i</tspan><tspan
style="font-size:6px;text-align:end;text-anchor:end"
y="257.36218"
x="448"
sodipodi:role="line"
id="tspan3954" /></text>
<text
sodipodi:linespacing="125%"
id="text3970"
y="204.36218"
x="342"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:start;text-anchor:start"
y="204.36218"
x="342"
id="tspan3972"
sodipodi:role="line">line_front_i,</tspan><tspan
id="tspan3976"
style="font-size:6px;text-align:start;text-anchor:start"
y="211.86218"
x="342"
sodipodi:role="line">etc.</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="342"
y="262.36218"
id="text3984"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="342"
y="262.36218"
style="font-size:6px;text-align:start;text-anchor:start"
id="tspan3988">sw_gp_i,</tspan><tspan
sodipodi:role="line"
x="342"
y="269.86218"
style="font-size:6px;text-align:start;text-anchor:start"
id="tspan3123">sw_other_i</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3990"
y="290.36218"
x="342"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan3994"
style="font-size:6px;text-align:start;text-anchor:start"
y="290.36218"
x="342"
sodipodi:role="line">scl,</tspan><tspan
id="tspan4009"
style="font-size:6px;text-align:start;text-anchor:start"
y="297.86218"
x="342"
sodipodi:role="line">sda</tspan></text>
</g>
<rect
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect5291"
width="9.84375"
height="35"
x="335.15625"
y="103.44128" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="335"
y="152.36218"
id="text5293"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="335"
y="152.36218"
style="font-size:10px;text-align:center;text-anchor:middle"
id="tspan5297">First pulse</tspan><tspan
id="tspan5301"
sodipodi:role="line"
x="335"
y="164.86218"
style="font-size:10px;text-align:center;text-anchor:middle">inhibit</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path5305"
d="m 350,122.36218 10,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)" />
</g>
</svg>
This source diff could not be displayed because it is too large. You can view the blob instead.
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="407.12799"
height="385.62"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="declarative.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="TriangleOutL"
style="overflow:visible">
<path
id="path3905"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3908"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="TriangleOutL-4"
style="overflow:visible">
<path
id="path3905-9"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="marker3050"
style="overflow:visible">
<path
id="path3052"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="marker3054"
style="overflow:visible">
<path
id="path3056"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="marker3058"
style="overflow:visible">
<path
id="path3060"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="marker3062"
style="overflow:visible">
<path
id="path3064"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="marker3066"
style="overflow:visible">
<path
id="path3068"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.4"
inkscape:cx="70.913676"
inkscape:cy="216.06366"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
showborder="true" />
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-118.28683,-169.23885)">
<g
id="g3171"
transform="translate(0,-15.168533)">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path2989"
d="m 124.28571,202.36218 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
<text
sodipodi:linespacing="125%"
id="text4389"
y="192.00504"
x="117.85714"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="192.00504"
x="117.85714"
id="tspan4391"
sodipodi:role="line"><tspan
id="tspan4397"
style="font-weight:bold">architecture </tspan>behav <tspan
id="tspan4395"
style="font-weight:bold">of </tspan>conv_ttl_blo_v2 <tspan
id="tspan4393"
style="font-weight:bold">is</tspan></tspan></text>
<text
sodipodi:linespacing="125%"
id="text4399"
y="230.26361"
x="143.21429"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="230.26361"
x="143.21429"
id="tspan4401"
sodipodi:role="line">Type declarations</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 124.28571,255.11809 0,45.66929"
id="path4421"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="143.21429"
y="283.01953"
id="text4423"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4425"
x="143.21429"
y="283.01953">Constant declarations</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4427"
d="m 124.28571,308.26769 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
<text
sodipodi:linespacing="125%"
id="text4429"
y="336.16916"
x="143.21429"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="336.16916"
x="143.21429"
id="tspan4431"
sodipodi:role="line">Component declarations</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 124.28571,361.4173 0,45.66929"
id="path4433"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="143.21429"
y="389.31879"
id="text4435"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4437"
x="143.21429"
y="389.31879">Signal declarations</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="117.85714"
y="432.28345"
id="text4439"
sodipodi:linespacing="125%"><tspan
style="font-weight:bold"
sodipodi:role="line"
id="tspan4441"
x="117.85714"
y="432.28345">begin</tspan></text>
</g>
<g
id="g3192"
transform="translate(-68.571428,0)">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path2989-4"
d="m 439.61331,180.37981 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
<text
sodipodi:linespacing="125%"
id="text4389-7"
y="506.56372"
x="432.09702"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-weight:bold"
y="506.56372"
x="432.09702"
id="tspan4391-9"
sodipodi:role="line">end</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4399-1"
y="208.28123"
x="458.5419"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="208.28123"
x="458.5419"
id="tspan4401-6"
sodipodi:role="line">125 MHz clock, reset</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 439.61331,233.52942 0,45.66929"
id="path4421-5"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="458.5419"
y="261.43088"
id="text4423-2"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4425-2"
x="458.5419"
y="261.43088">I<tspan
id="tspan4538"
style="font-size:65.00091553%;baseline-shift:super">2</tspan>C bridge and crossbar</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4427-3"
d="m 439.61331,286.67902 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
<text
sodipodi:linespacing="125%"
id="text4429-6"
y="309.29971"
x="458.5419"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4540"
y="309.29971"
x="458.5419"
sodipodi:role="line">CSR instantiation</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 439.61331,339.82863 0,45.66929"
id="path4433-9"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="458.5419"
y="361.4801"
id="text4435-2"
sodipodi:linespacing="125%"><tspan
id="tspan4542"
sodipodi:role="line"
x="458.5419"
y="361.4801">Pulse generation and pulse</tspan><tspan
id="tspan3068"
sodipodi:role="line"
x="458.5419"
y="373.9801">status logic</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="432.5267"
y="176.8365"
id="text4439-2"
sodipodi:linespacing="125%"><tspan
style="font-weight:bold"
sodipodi:role="line"
id="tspan4441-7"
x="432.5267"
y="176.8365">begin</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4544"
d="m 439.61331,392.97824 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
<text
sodipodi:linespacing="125%"
id="text4546"
y="415.59891"
x="458.5419"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="415.59891"
x="458.5419"
sodipodi:role="line"
id="tspan4550">Status LEDs</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 439.61331,446.12784 0,45.66929"
id="path4554"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="458.5419"
y="474.02927"
id="text4556"
sodipodi:linespacing="125%"><tspan
id="tspan4560"
sodipodi:role="line"
x="458.5419"
y="474.02927">RTM detection</tspan></text>
</g>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="212.3093"
y="553.01312"
id="text3218"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3220"
x="212.3093"
y="553.01312"
style="font-size:14px;font-weight:bold">(a)</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3222"
y="553.01312"
x="433.26901"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:14px;font-weight:bold"
y="553.01312"
x="433.26901"
id="tspan3224"
sodipodi:role="line">(b)</tspan></text>
</g>
</svg>
......@@ -9,26 +9,26 @@
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="161.45953"
height="337.46649"
id="svg2"
width="331.05316"
height="96"
id="svg3876"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="body.svg">
sodipodi:docname="first-pulse-inhibit.svg">
<defs
id="defs4">
id="defs3878">
<marker
inkscape:stockid="TriangleOutL"
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutL"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3905"
id="path4730"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
......@@ -36,10 +36,10 @@
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
id="marker3062"
style="overflow:visible">
<path
id="path3908"
id="path3064"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
......@@ -53,12 +53,14 @@
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.979899"
inkscape:cx="13.739403"
inkscape:cy="111.53048"
inkscape:zoom="2.8"
inkscape:cx="145.76561"
inkscape:cy="-2.0634765"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
showguides="true"
inkscape:guide-bbox="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
......@@ -67,18 +69,26 @@
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
showguides="true"
inkscape:guide-bbox="true" />
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid4392"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
originx="-23.94685px"
originy="-899.5px" />
</sodipodi:namedview>
<metadata
id="metadata7">
id="metadata3881">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
......@@ -86,138 +96,257 @@
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-118.28683,-192.98573)">
transform="translate(-23.94685,-56.862183)">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 125.37344,204.12669 0,45.66929"
id="path2989"
style="fill:none;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 140.86614,83.93695 -44.29133,0 0,-17.71653 -26.57481,0"
id="path16881"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="117.85714"
y="530.31061"
id="text4389"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4391"
x="117.85714"
y="530.31061"
style="font-weight:bold">end</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="144.30203"
y="232.02812"
id="text4399"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4401"
x="144.30203"
y="232.02812">125 MHz clock, reset</tspan></text>
sodipodi:nodetypes="cccc" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 96.57481,66.22042 141.73228,0"
id="path16883"
inkscape:connector-curvature="0" />
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 238.30709,60.90547 c 0,8.85825 0,8.85825 0,17.71652 12.04146,0 17.71654,-3.5433 17.71654,-8.85827 0,-5.31496 -5.67508,-8.85825 -17.71654,-8.85825 z"
id="path3936"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 140.86615,133.54325 -26.57481,0"
id="path4566"
inkscape:connector-curvature="0"
id="path4421"
d="m 125.37344,257.2763 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
sodipodi:nodetypes="cc" />
<path
transform="translate(-13.408429,82.93692)"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path4618"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.56;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text4423"
y="285.17773"
x="144.30203"
id="text4622"
y="135.36218"
x="113"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="285.17773"
x="144.30203"
id="tspan4425"
sodipodi:role="line">I<tspan
style="font-size:65.00091553%;baseline-shift:super"
id="tspan4538">2</tspan>C bridge and crossbar</tspan></text>
y="135.36218"
x="113"
sodipodi:role="line"
id="tspan3013"
style="font-size:6px;text-align:end;text-anchor:end">20 MHz</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 125.37344,310.4259 0,45.66929"
id="path4427"
style="fill:none;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 202.87402,83.93695 17.71653,0 0,-10.62992 17.71654,0"
id="path16971"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 256.02362,69.76372 8.85827,0"
id="path16973"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
transform="translate(29.111253,116.59834)"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path3020"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<rect
style="opacity:0.98999999;fill:#000000;fill-opacity:0.1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect16159"
width="62.007866"
height="70.866119"
x="140.86615"
y="75.07869" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 140.86614,128.22829 7.92662,5.2844 -7.92662,5.34552"
id="path4425"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="144.30203"
y="333.04657"
id="text4429"
x="142.6378"
y="87.480263"
id="text16885"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="144.30203"
y="333.04657"
id="tspan4540">CSR instantiation</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4433"
d="m 125.37344,363.57551 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
id="tspan16887"
x="142.6378"
y="87.480263">cnt</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4435"
y="385.22696"
x="144.30203"
id="text16889"
y="87.480263"
x="178.07086"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="385.22696"
x="144.30203"
sodipodi:role="line"
id="tspan4542">Pulse generation and pulse</tspan><tspan
y="397.72696"
x="144.30203"
y="87.480263"
x="178.07086"
id="tspan16891"
sodipodi:role="line">en_o</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3010"
y="119.37003"
x="170.98425"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="119.37003"
x="170.98425"
id="tspan3012"
sodipodi:role="line"
id="tspan3068">status logic</tspan></text>
style="font-size:12px;font-weight:bold;text-align:center;text-anchor:middle">&lt;1999</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4439"
y="200.58339"
x="118.28683"
id="text3012"
y="103.42514"
x="142.6378"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="200.58339"
x="118.28683"
id="tspan4441"
y="103.42514"
x="142.6378"
id="tspan3014"
sodipodi:role="line">rst</tspan></text>
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path3936-1"
d="m 24.19685,57.761133 c 5.16063,6.88084 5.16063,10.32125 0,17.20209 12.04146,0 20.64251,0 25.80315,-8.60104 -5.16064,-8.60105 -13.76169,-8.60105 -25.80315,-8.60105 z"
style="opacity:0.3;fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3838"
d="m 55,66.362183 10.000001,0"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)" />
<rect
y="57.362183"
x="295"
height="95"
width="60"
id="rect4950"
style="opacity:0.3;fill:#e5e5e5;fill-opacity:1;stroke-width:0.56;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0" />
<path
inkscape:connector-curvature="0"
id="path4952"
d="m 295,57.362183 0,94.999997"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 115,99.88183 22.32284,0"
id="path3790"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="206.41733"
y="92.795258"
id="text3017"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3019"
x="206.41733"
y="92.795258"
style="font-size:6px">en_o = '0'</tspan><tspan
sodipodi:role="line"
x="206.41733"
y="100.29526"
id="tspan3025"
style="font-size:6px"><tspan
style="font-size:6px;font-weight:bold"
id="tspan3027">if</tspan> cnt &lt; 1999</tspan><tspan
sodipodi:role="line"
x="206.41733"
y="107.79526"
style="font-size:6px"
id="tspan3825">(line low for</tspan><tspan
sodipodi:role="line"
style="font-weight:bold">begin</tspan></text>
x="206.41733"
y="115.29526"
style="font-size:6px"
id="tspan3827">100 us)</tspan></text>
<rect
y="57.362183"
x="70"
height="93.897598"
width="194.8819"
id="rect3779"
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:6, 2;stroke-dashoffset:0"
rx="8.858223"
ry="8.858223" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)"
d="m 125.37344,416.72512 0,45.66929"
id="path4544"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 270,69.76374 21.4567,0"
id="path3036"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="144.30203"
y="439.34576"
id="text4546"
x="113"
y="101.36218"
id="text4465"
sodipodi:linespacing="125%"><tspan
id="tspan4550"
style="font-size:6px;text-align:end;text-anchor:end"
id="tspan4467"
sodipodi:role="line"
x="144.30203"
y="439.34576">Status LEDs</tspan></text>
x="113"
y="101.36218">rst_n</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4554"
d="m 125.37344,469.87472 0,45.66929"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutL)" />
id="path4914"
d="m 270,69.76374 21.4567,0"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="300"
y="73.362183"
id="text4969"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4971"
x="300"
y="73.362183">pulse_i</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4556"
y="497.77615"
x="144.30203"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
id="text4973"
y="122.36218"
x="300"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="497.77615"
x="144.30203"
y="122.36218"
x="300"
id="tspan4975"
sodipodi:role="line"
style="font-weight:bold">Conv.</tspan><tspan
y="134.86218"
x="300"
sodipodi:role="line"
id="tspan4977"
style="font-weight:bold">common</tspan><tspan
y="147.36218"
x="300"
sodipodi:role="line"
id="tspan4560">RTM detection</tspan></text>
id="tspan4979"
style="font-weight:bold">gateware</tspan></text>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="428.99438"
height="289.00787"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="hdl-bd.svg"
inkscape:export-filename="/home/tstana/Desktop/hdl-bd.png"
inkscape:export-xdpi="72"
inkscape:export-ydpi="72">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleOutS"
orient="auto"
refY="0"
refX="0"
id="TriangleOutS"
style="overflow:visible">
<path
id="path5638"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.2,0.2)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleInS"
orient="auto"
refY="0"
refX="0"
id="TriangleInS"
style="overflow:visible">
<path
id="path5629"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.2,-0.2)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="TriangleInM"
style="overflow:visible">
<path
id="path3975"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3984"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="marker3060"
style="overflow:visible">
<path
id="path3062"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3064"
style="overflow:visible">
<path
id="path3066"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3068"
style="overflow:visible">
<path
id="path3070"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="TriangleInM-9"
style="overflow:visible">
<path
id="path3975-6"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM-1"
style="overflow:visible">
<path
id="path3984-3"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="marker3106"
style="overflow:visible">
<path
id="path3108"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3110"
style="overflow:visible">
<path
id="path3112"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3114"
style="overflow:visible">
<path
id="path3116"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.979899"
inkscape:cx="235.59684"
inkscape:cy="21.651564"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
showguides="true"
inkscape:guide-bbox="true"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
inkscape:snap-global="true"
inkscape:snap-bbox="true"
inkscape:snap-bbox-edge-midpoints="false">
<inkscape:grid
type="xygrid"
id="grid2985"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.5mm"
spacingy="0.5mm"
originx="-31.203457mm"
originy="-139.71778mm" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-110.56343,-268.29132)">
<g
id="g3216"
sodipodi:insensitive="true">
<path
sodipodi:nodetypes="ccccccccc"
transform="translate(88.672806,268.29132)"
inkscape:connector-curvature="0"
id="path3230"
d="m 100.89412,160.44881 0,38.97638 40.74804,0 0,35.43307 -40.74804,0 -1e-5,47.83466 244.4882,0 0,-122.24411 z"
style="fill:#000000;fill-opacity:0.25;stroke:none" />
<text
sodipodi:linespacing="125%"
id="text4072"
y="527.95276"
x="200.19685"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:bold;fill:#ffffff;fill-opacity:1"
y="527.95276"
x="200.19685"
id="tspan4074"
sodipodi:role="line">channel logic x6</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 132.78389,215.37007 30.11811,0"
id="path3204"
inkscape:connector-curvature="0"
transform="translate(88.672806,268.29132)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 150.50042,178.16535 -19.48819,0"
id="path3202"
inkscape:connector-curvature="0"
transform="translate(88.672806,268.29132)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 251.5748,476.57478 -12.40157,0 0,-70.86614 7.08662,0"
id="path5106"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 267.51968,480.0486 12.40158,0"
id="path6451"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
transform="translate(-2.8156685,0.49998489)"
id="g4065">
<rect
y="297.13773"
x="194.15427"
height="23.031549"
width="54.921246"
id="rect4051"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="221.4567"
y="310.82928"
id="text4053"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="221.4567"
y="310.82928"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan4057">I<tspan
id="tspan4100"
style="font-size:65.00091553%;baseline-shift:super">2</tspan>C bridge</tspan></text>
</g>
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path13512"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(301.04038,495.62892)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 380.90551,405.70865 76.1811,-10e-6"
id="path13673"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
id="g6443"
transform="translate(0,-136.41732)">
<path
inkscape:connector-curvature="0"
id="path9905"
d="m 164.76378,574.01572 19.48819,10e-6 0,5.31496 19.48819,-10e-6"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 164.76378,591.73226 19.48819,10e-6 0,-5.31496 19.48819,-1e-5"
id="path9907"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="158.08882"
y="574.77466"
id="text14802"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="158.08882"
y="574.77466"
id="tspan14806"
style="font-size:12px;font-weight:normal;text-align:end;text-anchor:end">TTL inp.</tspan></text>
<text
sodipodi:linespacing="125%"
id="text14810"
y="599.03925"
x="158.08882"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:normal;text-align:end;text-anchor:end"
id="tspan14812"
y="599.03925"
x="158.08882"
sodipodi:role="line">Blo. inp.</tspan></text>
</g>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="462.759"
y="472.32587"
id="text14814"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="462.759"
y="472.32587"
id="tspan14816"
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start">TTL outp.</tspan></text>
<text
sodipodi:linespacing="125%"
id="text14818"
y="491.81403"
x="461.54611"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
id="tspan14820"
y="491.81403"
x="461.54611"
sodipodi:role="line">Blo. outp.</tspan></text>
<text
sodipodi:linespacing="125%"
id="text14822"
y="402.35818"
x="462.07346"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
id="tspan14824"
y="402.35818"
x="462.07346"
sodipodi:role="line">Blo. power</tspan><tspan
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
y="417.35818"
x="462.07346"
sodipodi:role="line"
id="tspan14826">supply reset</tspan></text>
<rect
style="opacity:0.5;fill:none;stroke:#323333;stroke-width:2;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:6, 6;stroke-dashoffset:0"
id="rect4649"
width="265.74802"
height="287.00787"
x="177.16536"
y="269.29132" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.5;fill:#333333;fill-opacity:1;stroke:none;font-family:Sans"
x="181.19516"
y="283.22525"
id="text4651"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4653"
x="181.19516"
y="283.22525"
style="font-size:14px;font-weight:bold;fill:#333333;fill-opacity:1">FPGA</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 411.02362,487.77069 0,-17.71654 44.29134,0"
id="path3085"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path3087"
d="m 411.02362,470.05415 0,17.71654 44.29134,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#marker3114)" />
<g
id="g4002"
transform="translate(-43.466763,-28.346441)">
<g
id="g4043">
<rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect13675"
width="47.834637"
height="23.031473"
x="332.24628"
y="380.90546" />
<text
sodipodi:linespacing="125%"
id="text13677"
y="389.76373"
x="356.10236"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px;font-weight:bold;text-align:center;text-anchor:middle"
y="389.76373"
x="356.10236"
id="tspan13679"
sodipodi:role="line">Board</tspan><tspan
style="font-size:8px;font-weight:bold;text-align:center;text-anchor:middle"
y="399.76373"
x="356.10236"
sodipodi:role="line"
id="tspan4003">registers</tspan></text>
</g>
</g>
<g
transform="translate(34.661415,-15.32082)"
id="g4020">
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="277.98489"
y="295.49173"
id="text5318"
sodipodi:linespacing="125%"><tspan
id="tspan14794"
sodipodi:role="line"
x="277.98489"
y="295.49173"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle">Wishbone crossbar</tspan></text>
<g
transform="translate(-98.440943,-39.350744)"
id="g3100">
<rect
ry="0"
rx="0"
y="337.8858"
x="345.47244"
height="50.1063"
width="62.007874"
id="rect4677"
style="fill:#cccccc;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<g
id="g5332"
transform="translate(-40.666191,98.962264)">
<path
inkscape:connector-curvature="0"
id="path5322"
d="m 395.28817,246.26045 12.19215,0 18.90999,35.43244 12.60666,0"
style="fill:none;stroke:#000000;stroke-width:3;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:3;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 395.28817,281.69289 12.19215,0 18.90999,-35.43244 12.60666,0"
id="path5324"
inkscape:connector-curvature="0" />
</g>
</g>
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path14384"
d="m 251.5748,304.7244 24.80315,0"
style="fill:none;stroke:#000000;stroke-width:3;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="162.95987"
y="366.73227"
id="text14796"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="162.95987"
y="366.73227"
style="font-size:12px;font-weight:normal;text-align:end;text-anchor:end"
id="tspan4044">RTM det.</tspan><tspan
sodipodi:role="line"
x="162.95987"
y="381.73227"
id="tspan14800"
style="font-size:12px;font-weight:normal;text-align:end;text-anchor:end">lines</tspan></text>
<g
transform="translate(0,-28.34642)"
id="g3979">
<rect
y="380.90549"
x="377.36221"
height="23.031549"
width="54.921246"
id="rect14846"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="404.66464"
y="390.40369"
id="text14838"
sodipodi:linespacing="125%"><tspan
id="tspan14856"
sodipodi:role="line"
x="404.66464"
y="390.40369"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle">Bicolor LED</tspan><tspan
sodipodi:role="line"
x="404.66464"
y="400.40369"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan3977">controller</tspan></text>
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path14844"
d="m 432.28347,364.07484 24.80314,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="461.54611"
y="368.33398"
id="text14848"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="461.54611"
y="368.33398"
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
id="tspan5797">Bicolor LEDs</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 432.78344,294.5945 24.30317,1e-5"
id="path3924"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text3926"
y="283.51251"
x="461.93283"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
y="283.51251"
x="461.93283"
sodipodi:role="line"
id="tspan3934">M25P32</tspan><tspan
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
y="298.51251"
x="461.93283"
sodipodi:role="line"
id="tspan3938">SPI flash</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-325.98422"
y="204.17363"
id="text2989"
sodipodi:linespacing="125%"
transform="matrix(0,-1,1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan2991"
x="-325.98422"
y="204.17363"
style="font-size:9px;font-weight:bold;text-align:center;text-anchor:middle" /></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:square;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#marker3114)"
d="m 165.26378,303.45308 22.5315,-0.0232"
id="path3831"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="133.59531"
y="307.79803"
id="text4667"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4669"
x="133.59531"
y="307.79803"
style="font-size:12px;font-weight:normal">SCL</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4671"
y="318.94559"
x="130.53671"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="318.94559"
x="130.53671"
id="tspan4673"
sodipodi:role="line"
style="font-size:12px;font-weight:normal">SDA</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3953"
d="m 165.26378,314.60064 22.5315,-0.0232"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:square;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#marker3106);marker-end:url(#marker3114)" />
<g
id="g5729"
transform="translate(50.708936,-11.515724)">
<rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4055"
width="53.149597"
height="24.803156"
x="285.73624"
y="404.82278" />
<text
sodipodi:linespacing="125%"
id="text4057"
y="414.30835"
x="312.48004"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="414.30835"
x="312.48004"
id="tspan4059"
sodipodi:role="line">Reset</tspan><tspan
id="tspan3985"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="424.30835"
x="312.48004"
sodipodi:role="line">generator</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:3;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)"
d="m 349.01575,294.09448 23.03149,0"
id="path7170"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
id="g9631"
transform="translate(-56.692903,344.26679)">
<rect
y="122.24406"
x="340.15747"
height="25.574808"
width="50.877953"
id="rect13577"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text13454"
y="132.23849"
x="365.37964"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan13485"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="132.23849"
x="365.37964"
sodipodi:role="line">Pulse</tspan><tspan
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="142.23849"
x="365.37964"
sodipodi:role="line"
id="tspan6162">generator</tspan></text>
</g>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="160.7496"
y="538.47241"
id="text3095"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="160.7496"
y="538.47241"
id="tspan3097"
style="font-size:12px;font-weight:normal;text-align:end;text-anchor:end">INV-TTL</tspan><tspan
sodipodi:role="line"
x="160.7496"
y="553.47241"
style="font-size:12px;font-weight:normal;text-align:end;text-anchor:end"
id="tspan3211">inp.</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3099"
y="547.44092"
x="461.54611"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
y="547.44092"
x="461.54611"
sodipodi:role="line"
id="tspan3213">INV-TTL outp.</tspan></text>
<path
inkscape:connector-curvature="0"
id="path10174"
d="m 164.76378,543.39761 145.40875,0.25023 145.14243,0.24977"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#marker3114);marker-mid:url(#marker3114);marker-end:url(#marker3114)"
sodipodi:nodetypes="ccc" />
<g
id="g5735"
transform="translate(2.2798009,-12.401563)">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path14092"
d="m 405.23033,418.1102 -10e-6,-21.25984"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 405.23033,418.6102 -10e-6,18.98819"
id="path14518"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
transform="translate(295.24709,434.82671)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path14524"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<g
transform="translate(24.324805,8.9212744)"
id="g5707">
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:2, 2;stroke-dashoffset:0;marker-end:url(#marker3114)"
d="m 380.90551,403.93697 10.62992,-10.62992"
id="path4170"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:2, 2;stroke-dashoffset:0;marker-end:url(#marker3114)"
d="M 380.87571,403.87397 370.27559,393.27386"
id="path4376"
inkscape:connector-curvature="0" />
</g>
<g
transform="translate(24.324805,-10.629914)"
id="g5711">
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:2, 2;stroke-dashoffset:0;marker-end:url(#marker3114)"
d="m 380.90551,434.05508 -8.85827,8.85827"
id="path4582"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:2, 2;stroke-dashoffset:0;marker-end:url(#marker3114)"
d="m 380.90551,434.05508 8.85827,8.85827"
id="path4788"
inkscape:connector-curvature="0" />
</g>
</g>
<g
transform="matrix(0.94765019,0,0,0.94765019,-54.536461,325.42479)"
id="g3164">
<g
id="g6181">
<rect
y="118.20075"
x="364.46063"
height="23.031553"
width="37.204529"
id="rect3166"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text3168"
y="127.69895"
x="382.8461"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan6169"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="127.69895"
x="382.8461"
sodipodi:role="line">Pulse</tspan><tspan
id="tspan6173"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="137.69894"
x="382.8461"
sodipodi:role="line">counter</tspan></text>
</g>
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path5045"
d="m 164.76378,370.27556 120.47244,-10e-6"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" />
<g
transform="translate(0,-97.440956)"
id="g4029">
<rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4031"
width="54.921246"
height="23.031549"
x="377.36221"
y="380.90549" />
<text
sodipodi:linespacing="125%"
id="text4033"
y="390.40369"
x="404.66464"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4037"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="390.40369"
x="404.66464"
sodipodi:role="line">MultiBoot</tspan><tspan
id="tspan4041"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="400.40369"
x="404.66464"
sodipodi:role="line">module</tspan></text>
</g>
<text
sodipodi:linespacing="125%"
id="text4102"
y="368.50391"
x="282.28177"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:end;text-anchor:end"
y="368.50391"
x="282.28177"
id="tspan4104"
sodipodi:role="line">RTM</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="282.02982"
y="357.78903"
id="text4106"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4108"
x="282.02982"
y="357.78903"
style="font-size:6px;text-align:end;text-anchor:end">CWDTO</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:3;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)"
d="m 312.77522,338.38582 0,8.85826"
id="path5067"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="320.08041"
y="382.59219"
id="text5952"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5954"
x="320.08041"
y="382.59219"
style="font-size:6px;text-align:start;text-anchor:start">RST</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 191.88196,61.23623 10.62992,0 0,44.29134 28.34646,0"
id="path5956"
inkscape:connector-curvature="0"
transform="translate(54.377884,254.11807)"
sodipodi:nodetypes="cccc" />
<g
id="g3177"
transform="translate(0,53.006919)">
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="465.88629"
y="456.86868"
id="text11004"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="465.88629"
y="456.86868"
id="tspan11006"
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start">TTL LEDs</tspan></text>
<text
sodipodi:linespacing="125%"
id="text11008"
y="475.26126"
x="464.84332"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
id="tspan11010"
y="475.26126"
x="464.84332"
sodipodi:role="line">Blo. LEDs</tspan></text>
<g
transform="translate(85.675193,-152.08403)"
id="g6203">
<rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.75448269;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect3156"
width="46.580173"
height="22.27701"
x="270.16858"
y="602.73938" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="293.32974"
y="611.08496"
id="text3158"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="293.32974"
y="611.08496"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan3160">Light</tspan><tspan
id="tspan6187"
sodipodi:role="line"
x="293.32974"
y="621.08496"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle">pulse LED</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 402.16536,461.79386 8.85826,0"
id="path6193"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path6195"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(301.04038,478.51036)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 411.02362,470.65213 0,-17.71654 44.29134,0"
id="path6197"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path6199"
d="m 411.02362,452.93559 0,17.71654 44.29134,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#marker3114)" />
</g>
<path
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 200.19685,439.79394 c 3.99764,5.33017 3.99764,7.99527 0,13.32546 9.05697,0 15.99056,0 19.9882,-6.66273 -3.99764,-6.66273 -10.93123,-6.66273 -19.9882,-6.66273 z"
id="path3936"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path6430"
d="m 248.66732,473.38587 c 3.99764,5.33017 3.99764,7.99527 0,13.32546 9.05697,0 15.99056,0 19.9882,-6.66273 -3.99764,-6.66273 -10.93123,-6.66273 -19.9882,-6.66273 z"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<g
id="g6593"
transform="translate(-1.3688552,-79.686135)">
<g
id="g7533"
transform="translate(-12.603189,255.71534)">
<g
id="g8173">
<rect
y="297.04053"
x="203.94177"
height="23.225937"
width="35.346222"
id="rect7521"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="221.25551"
y="305.86053"
id="text7523"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="221.25551"
y="305.86053"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan7527">Manual</tspan><tspan
sodipodi:role="line"
x="221.25551"
y="315.86053"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan7531">trigger</tspan></text>
</g>
</g>
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3169"
d="m 349.01575,322.44093 23.03149,0"
style="fill:none;stroke:#000000;stroke-width:3;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)" />
<g
id="g3171"
transform="translate(0,-69.734431)">
<rect
y="380.90549"
x="377.36221"
height="23.031549"
width="54.921246"
id="rect3173"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="404.66464"
y="390.40369"
id="text3175"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="404.66464"
y="390.40369"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan3179">One-wire</tspan><tspan
sodipodi:role="line"
x="404.66464"
y="400.40369"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan3183">master</tspan></text>
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3185"
d="m 432.78344,322.68682 24.30317,10e-6"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="462.40158"
y="325.98422"
id="text3187"
sodipodi:linespacing="125%"><tspan
id="tspan3191"
sodipodi:role="line"
x="462.40158"
y="325.98422"
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start">DS18B20</tspan><tspan
sodipodi:role="line"
x="462.40158"
y="340.98422"
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
id="tspan3195">thermom.</tspan></text>
<g
id="g3192"
transform="translate(-0.47382666,-12.401555)">
<rect
y="407.19727"
x="250.27698"
height="21.825855"
width="35.256878"
id="rect4874"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.94765019;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text4876"
y="415.31723"
x="268.16129"
style="font-size:9.47650146px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4878"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="415.31723"
x="268.16129"
sodipodi:role="line">Pulse</tspan><tspan
id="tspan4880"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="425.31723"
x="268.16129"
sodipodi:role="line">time-tag</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 334.84252,478.91242 76.18111,0"
id="path4888"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 341.92914,478.34643 0,35.43307 10.62992,0"
id="path4894"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
transform="translate(231.9459,495.62892)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path5102"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 239.17323,446.45667 47.83465,0"
id="path5108"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
transform="translate(129.18999,463.17317)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path5520"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#marker3106);marker-mid:none;marker-end:url(#marker3114)"
d="m 310.03937,434.05509 0,-54.92126"
id="path5524"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#marker3106);marker-end:url(#marker3114)"
d="m 200.10672,137.41732 12.40158,0 0,-26.57482"
id="path6138"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc"
transform="translate(88.672806,268.29132)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 230.22483,107.29921 0,30.11811 14.17323,0"
id="path4076"
inkscape:connector-curvature="0"
transform="translate(88.672806,268.29132)"
sodipodi:nodetypes="ccc" />
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="360.8418"
height="162"
id="svg4040"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="line-inp.svg">
<defs
id="defs4042">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3992"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker4023"
style="overflow:visible">
<path
id="path4025"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM-9"
style="overflow:visible">
<path
id="path4441"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM-6"
style="overflow:visible">
<path
id="path3992-3"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker5356"
style="overflow:visible">
<path
id="path5358"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3062"
style="overflow:visible">
<path
id="path3064"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3133"
style="overflow:visible">
<path
id="path3135"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3133-3"
style="overflow:visible">
<path
id="path3135-9"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3064"
style="overflow:visible">
<path
id="path3066"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<filter
inkscape:collect="always"
id="filter4039"
color-interpolation-filters="sRGB">
<feGaussianBlur
inkscape:collect="always"
stdDeviation="0.18"
id="feGaussianBlur4041" />
</filter>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8"
inkscape:cx="155.04333"
inkscape:cy="94.050324"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
showguides="true"
inkscape:guide-bbox="true">
<inkscape:grid
type="xygrid"
id="grid4556"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
originx="15.8418px"
originy="-684px" />
</sodipodi:namedview>
<metadata
id="metadata4045">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(15.8418,-206.36218)">
<path
style="fill:none;stroke:#b2b2b2;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 66.78418,246 37,0"
id="path4252"
inkscape:connector-curvature="0"
transform="translate(91.21582,86.362183)" />
<rect
y="207.36218"
x="270"
height="160"
width="75"
id="rect4950"
style="opacity:0.3;fill:#e5e5e5;fill-opacity:1;stroke-width:0.56;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0" />
<path
inkscape:connector-curvature="0"
id="path4952"
d="m 270,207.36218 0,160"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text4973"
y="222.36218"
x="275"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="222.36218"
x="275"
id="tspan4975"
sodipodi:role="line"
style="font-weight:bold">Conv.</tspan><tspan
y="234.86218"
x="275"
sodipodi:role="line"
id="tspan4977"
style="font-weight:bold">common</tspan><tspan
y="247.36218"
x="275"
sodipodi:role="line"
id="tspan4979"
style="font-weight:bold">gateware</tspan></text>
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3064)"
d="m 195,332.36218 70,0"
id="path3957"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3949"
d="m 35,332.36218 111,0"
style="fill:none;stroke:#000000;stroke-width:0.50000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path3889"
d="m 158,262.36218 37,0"
style="fill:none;stroke:#b2b2b2;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.50000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 35,262.36218 111,0"
id="path3887"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3064)"
d="m 195,262.36217 70,0"
id="path4051-1"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;marker-start:none"
d="m 195,207.36218 0,160"
id="path4728-0"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="200"
y="217.36218"
id="text4947-4"
sodipodi:linespacing="125%"><tspan
id="tspan4949-2"
sodipodi:role="line"
x="200"
y="217.36218"
style="font-size:10px;font-weight:bold;text-align:start;text-anchor:start">FPGA</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4951-6"
y="217.36218"
x="190"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:bold;text-align:end;text-anchor:end;fill:#b2b2b2;fill-opacity:1"
y="217.36218"
x="190"
sodipodi:role="line"
id="tspan4953-2">Board</tspan></text>
<text
sodipodi:linespacing="125%"
id="text5499-1"
y="261.36218"
x="4.9999971"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle;fill:#000000;fill-opacity:1"
y="261.36218"
x="4.9999971"
sodipodi:role="line"
id="tspan4137-6">TTL, INV-TTL</tspan><tspan
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle;fill:#000000;fill-opacity:1"
y="268.86218"
x="4.9999971"
sodipodi:role="line"
id="tspan3860">input</tspan></text>
<g
transform="matrix(0.61914096,0,0,0.61914096,137.89186,187.77279)"
id="g3783-3"
style="fill:#ffffff;fill-opacity:1">
<path
inkscape:connector-curvature="0"
id="path3785-1"
d="m 141.73228,113.3858 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0.24999996,0,0,0.24999996,121.67006,91.240144)"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
sodipodi:cx="159.44882"
id="path3787-7"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
</g>
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.31000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 145.82213,257.97462 0,10.96899 10.96899,-6.58139 -10.96899,-6.58139 z"
id="path3757-4"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:2.00278353999999981;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3759-6"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
transform="matrix(0.15478457,0,0,0.15478457,133.40084,244.2634)" />
<path
style="opacity:0.57999997999999997;fill:none;stroke:#000000;stroke-width:0.30957045999999999;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 147.60168,263.48501 2.24558,0 0.74853,-2.24558 1.49705,0"
id="path3607-2"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path3609-0"
d="m 152.09284,261.23943 -2.24558,0 -0.74852,2.24558 -1.49706,0"
style="opacity:0.57999997999999997;fill:none;stroke:#000000;stroke-width:0.30957045999999999;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="opacity:0.5;fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 3;stroke-dashoffset:5.7;marker-end:url(#marker3133)"
d="m 50,252.36218 0,-20 210,0 0,20"
id="path4178-3"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25000000000000000;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5521-9"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,169.78516,147.80415)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 35,232.36218 0,50"
id="path3862"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
transform="matrix(2,0,0,2,9.7851601,147.80415)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path3864"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25000000000000000;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="34.999996"
y="293.36218"
id="text3866"
sodipodi:linespacing="125%"><tspan
id="tspan3870"
sodipodi:role="line"
x="34.999996"
y="293.36218"
style="font-size:6px;text-align:center;text-anchor:middle;fill:#000000;fill-opacity:1">Front panel</tspan></text>
<rect
ry="5"
rx="5"
style="fill:none;stroke:#000000;stroke-width:0.50000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:4.70000000000000018"
id="rect3874"
width="35.000076"
height="29.999987"
x="135"
y="247.36218" />
<text
sodipodi:linespacing="125%"
id="text3883"
y="293.36218"
x="153"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:center;text-anchor:middle;fill:#000000;fill-opacity:1"
y="293.36218"
x="153"
sodipodi:role="line"
id="tspan3885">Schmitt trigger</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="4.9999971"
y="331.36218"
id="text3899"
sodipodi:linespacing="125%"><tspan
id="tspan3901"
sodipodi:role="line"
x="4.9999971"
y="331.36218"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle;fill:#000000;fill-opacity:1">Blocking</tspan><tspan
id="tspan3903"
sodipodi:role="line"
x="4.9999971"
y="338.86218"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle;fill:#000000;fill-opacity:1">input</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3909"
y="363.36218"
x="34.999996"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:center;text-anchor:middle;fill:#000000;fill-opacity:1"
y="363.36218"
x="34.999996"
sodipodi:role="line"
id="tspan3911">Rear panel</tspan></text>
<path
inkscape:connector-curvature="0"
id="path3913"
d="m 145.82213,327.97458 0,10.96899 10.96899,-6.58139 -10.96899,-6.58139 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.31000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0.15478457,0,0,0.15478457,133.40084,314.26336)"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
sodipodi:cx="159.44882"
id="path3915"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:2.00278353999999981;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<g
style="stroke:#000000;stroke-width:1.46541727000000011;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
transform="matrix(0.21125073,0,0,0.21125073,144.60758,287.07634)"
id="g3917">
<path
style="fill:none;stroke:#000000;stroke-width:1.46541727000000011;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 29.848669,186.25198 10.629922,0 3.543307,-10.62992 7.086614,0"
id="path3919"
inkscape:connector-curvature="0"
transform="translate(-15.675442,33.433089)" />
<path
inkscape:connector-curvature="0"
id="path3921"
d="m 35.43307,209.05515 -10.629922,0 -3.543307,10.62992 -7.086614,0"
style="fill:none;stroke:#000000;stroke-width:1.46541727000000011;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
<rect
y="317.36212"
x="135"
height="29.999987"
width="35.000076"
id="rect3923"
style="fill:none;stroke:#000000;stroke-width:0.50000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:4.70000000000000018"
rx="5"
ry="5" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="153"
y="363.36212"
id="text3925"
sodipodi:linespacing="125%"><tspan
id="tspan3927"
sodipodi:role="line"
x="153"
y="363.36212"
style="font-size:6px;text-align:center;text-anchor:middle;fill:#000000;fill-opacity:1">Schmitt trigger</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3929"
d="m 35,302.36219 0,50"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25000000000000000;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3931"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,9.7851601,217.80416)" />
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.31000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 85.82213,327.97458 0,10.96899 10.96899,-6.58139 -10.96899,-6.58139 z"
id="path3933"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:2.00278353999999981;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3935"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
transform="matrix(0.15478457,0,0,0.15478457,73.40084,314.26336)" />
<g
id="g3937"
transform="matrix(0.21125073,0,0,0.21125073,84.60758,287.07634)"
style="stroke:#000000;stroke-width:1.46541727000000011;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none">
<path
transform="translate(-15.675442,33.433089)"
inkscape:connector-curvature="0"
id="path3939"
d="m 29.848669,186.25198 10.629922,0 3.543307,-10.62992 7.086614,0"
style="fill:none;stroke:#000000;stroke-width:1.46541727000000011;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:1.46541727000000011;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 35.43307,209.05515 -10.629922,0 -3.543307,10.62992 -7.086614,0"
id="path3941"
inkscape:connector-curvature="0" />
</g>
<rect
ry="5"
rx="5"
style="fill:none;stroke:#000000;stroke-width:0.50000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:4.70000000000000018"
id="rect3943"
width="35.000076"
height="29.999987"
x="75"
y="317.36212" />
<text
sodipodi:linespacing="125%"
id="text3945"
y="363.36212"
x="93"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:center;text-anchor:middle;fill:#000000;fill-opacity:1"
y="363.36212"
x="93"
sodipodi:role="line"
id="tspan3947">Optocoupler</tspan></text>
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path3951"
d="m 50,322.36218 0,-20 210,0 0,20"
style="opacity:0.5;fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 3;stroke-dashoffset:5.7;marker-end:url(#marker3133)" />
<path
transform="matrix(2,0,0,2,169.78516,217.80416)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path3955"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25000000000000000;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<rect
y="327.36218"
x="275"
height="9.9999971"
width="65"
id="rect3959"
style="fill:#ffffff;fill-opacity:1;stroke:#b2b2b2;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
x="290.71289"
y="334.54626"
id="text3961"
sodipodi:linespacing="125%"><tspan
style="font-size:6px;font-weight:bold;fill:#b2b2b2;fill-opacity:1"
sodipodi:role="line"
id="tspan3963"
x="290.71289"
y="334.54626">LSR.REAR</tspan></text>
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#b2b2b2;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect4026"
width="65"
height="9.9999971"
x="275"
y="257.36218" />
<text
sodipodi:linespacing="125%"
id="text3893-1"
y="264.24304"
x="279.73828"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold;fill:#b2b2b2;fill-opacity:1"
y="264.24304"
x="279.73828"
id="tspan3895-3"
sodipodi:role="line">LSR.FRONT(INV)</tspan></text>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="228.89287"
height="280.55576"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="man-trig-fsm.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3955"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="0.9899495"
inkscape:cx="51.039525"
inkscape:cy="72.595645"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="false"
inkscape:window-width="1855"
inkscape:window-height="1148"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0" />
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-195.97395,-202.91246)">
<g
id="g3765">
<path
transform="matrix(0.37647987,0,0,0.37647987,123.32929,149.27814)"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
sodipodi:ry="52.142857"
sodipodi:rx="90"
sodipodi:cy="195.93361"
sodipodi:cx="284.28571"
id="path2985"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text3755"
y="226.68822"
x="218.92159"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="226.68822"
x="218.92159"
id="tspan3757"
sodipodi:role="line">IDLE</tspan></text>
</g>
<g
id="g3770"
transform="translate(0,-2.3033295)">
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3759"
sodipodi:cx="284.28571"
sodipodi:cy="195.93361"
sodipodi:rx="90"
sodipodi:ry="52.142857"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
transform="matrix(0.37647987,0,0,0.37647987,123.32929,211.65506)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="214.68575"
y="289.06024"
id="text3761"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3763"
x="214.68575"
y="289.06024"
style="font-size:10px">PASS1</tspan></text>
</g>
<g
id="g3775"
transform="translate(0,57.770204)">
<path
transform="matrix(0.37647987,0,0,0.37647987,123.32929,211.65506)"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
sodipodi:ry="52.142857"
sodipodi:rx="90"
sodipodi:cy="195.93361"
sodipodi:cx="284.28571"
id="path3777"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text3779"
y="289.06024"
x="214.68575"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="289.06024"
x="214.68575"
id="tspan3781"
sodipodi:role="line">PASS2</tspan></text>
</g>
<g
transform="translate(0,117.84375)"
id="g3783">
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3785"
sodipodi:cx="284.28571"
sodipodi:cy="195.93361"
sodipodi:rx="90"
sodipodi:ry="52.142857"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
transform="matrix(0.37647987,0,0,0.37647987,123.32929,211.65506)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="214.68575"
y="289.06024"
id="text3787"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3789"
x="214.68575"
y="289.06024"
style="font-size:10px">PASS3</tspan></text>
</g>
<g
id="g3799"
transform="translate(110.20613,177.91737)">
<path
transform="matrix(0.37647987,0,0,0.37647987,123.32929,211.65506)"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
sodipodi:ry="52.142857"
sodipodi:rx="90"
sodipodi:cy="195.93361"
sodipodi:cx="284.28571"
id="path3793"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text3795"
y="289.06024"
x="219.78584"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="289.06024"
x="219.78584"
id="tspan3797"
sodipodi:role="line">GEN</tspan></text>
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3804"
d="m 230.35714,242.71932 0,17.06518"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 230.35714,302.71932 0,17.06518"
id="path4250"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4252"
d="m 230.35714,362.54074 0,17.06518"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 230.35714,422.64481 0,17.06518"
id="path4254"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text4256"
y="254.51773"
x="210.52301"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold"
y="254.51773"
x="210.52301"
id="tspan4258"
sodipodi:role="line">0xde</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="210.75739"
y="314.51773"
id="text4260"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4262"
x="210.75739"
y="314.51773"
style="font-size:6px;font-weight:bold">0xad</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4264"
y="374.33914"
x="210.52301"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold"
y="374.33914"
x="210.52301"
id="tspan4266"
sodipodi:role="line">0xbe</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="211.8736"
y="434.44321"
id="text4268"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4270"
x="211.8736"
y="434.44321"
style="font-size:6px;font-weight:bold">0xef</tspan></text>
<g
id="g4842">
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="270.07297"
y="281.25565"
id="text4700"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4702"
x="270.07297"
y="281.25565"
style="font-size:6px;font-weight:bold">!= 0xad</tspan></text>
<g
transform="translate(21.973897,4.2478605)"
id="g4786">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 242.34396,278.86894 39.02947,0"
id="path4768"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
id="g4778"
transform="translate(0,-184.35284)">
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:21.2494761, 21.2494761;stroke-dashoffset:0"
id="path4780"
sodipodi:cx="284.28571"
sodipodi:cy="195.93361"
sodipodi:rx="90"
sodipodi:ry="52.142857"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
transform="matrix(0.37647987,0,0,0.37647987,211.56152,389.45671)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="307.15381"
y="466.86679"
id="text4782"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4784"
x="307.15381"
y="466.86679"
style="font-size:10px">IDLE</tspan></text>
</g>
</g>
</g>
<g
id="g4852"
transform="translate(0,60.073533)">
<text
sodipodi:linespacing="125%"
id="text4854"
y="281.25565"
x="270.07297"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold"
y="281.25565"
x="270.07297"
id="tspan4856"
sodipodi:role="line">!= 0xbe</tspan></text>
<g
id="g4858"
transform="translate(21.973897,4.2478605)">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4860"
d="m 242.34396,278.86894 39.02947,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<g
transform="translate(0,-184.35284)"
id="g4862">
<path
transform="matrix(0.37647987,0,0,0.37647987,211.56152,389.45671)"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
sodipodi:ry="52.142857"
sodipodi:rx="90"
sodipodi:cy="195.93361"
sodipodi:cx="284.28571"
id="path4864"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:21.2494761, 21.2494761;stroke-dashoffset:0"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text4866"
y="466.86679"
x="307.15381"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="466.86679"
x="307.15381"
id="tspan4868"
sodipodi:role="line">IDLE</tspan></text>
</g>
</g>
</g>
<g
id="g3075">
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="270.07297"
y="401.40274"
id="text4872"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4874"
x="270.07297"
y="401.40274"
style="font-size:6px;font-weight:bold">!= 0xef</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4878"
d="m 264.31786,403.26388 39.02947,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<g
transform="translate(21.973897,-59.9579)"
id="g4880">
<path
transform="matrix(0.37647987,0,0,0.37647987,211.56152,389.45671)"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
sodipodi:ry="52.142857"
sodipodi:rx="90"
sodipodi:cy="195.93361"
sodipodi:cx="284.28571"
id="path4882"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:21.2494761, 21.2494761;stroke-dashoffset:0"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text4884"
y="466.86679"
x="307.15381"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="466.86679"
x="307.15381"
id="tspan4886"
sodipodi:role="line">IDLE</tspan></text>
</g>
</g>
<g
transform="translate(0,177.91737)"
id="g3050">
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3052"
sodipodi:cx="284.28571"
sodipodi:cy="195.93361"
sodipodi:rx="90"
sodipodi:ry="52.142857"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
transform="matrix(0.37647987,0,0,0.37647987,123.32929,211.65506)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="203.55537"
y="287.95184"
id="text3054"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3056"
x="203.55537"
y="287.95184"
style="font-size:10px">GET_CHAN</tspan></text>
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3073"
d="m 264.31786,463.33749 39.02947,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 178.39286,260.13516 50,0 0,-240.083113 -156.329227,0"
id="path3084"
inkscape:connector-curvature="0"
transform="translate(195.97395,202.91246)"
sodipodi:nodetypes="cccc" />
</g>
</svg>
......@@ -9,8 +9,8 @@
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="298.63779"
height="112.11417"
width="298.41779"
height="139.18898"
id="svg16149"
version="1.1"
inkscape:version="0.48.3.1 r9886"
......@@ -24,9 +24,9 @@
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="3.959798"
inkscape:cx="181.80464"
inkscape:cy="46.316789"
inkscape:zoom="2.8"
inkscape:cx="90.634225"
inkscape:cy="40.077438"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
......@@ -38,7 +38,9 @@
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
fit-margin-bottom="0"
showguides="true"
inkscape:guide-bbox="true">
<inkscape:grid
type="xygrid"
id="grid16157"
......@@ -50,7 +52,11 @@
spacingx="0.5mm"
spacingy="0.5mm"
originx="-22.358889mm"
originy="-244.92945mm" />
originy="-243.35889mm" />
<sodipodi:guide
orientation="0,1"
position="177.66535,174.12206"
id="guide3808" />
</sodipodi:namedview>
<metadata
id="metadata16154">
......@@ -68,65 +74,20 @@
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-79.224409,-72.38776)">
transform="translate(-79.224409,-50.877898)">
<path
transform="translate(65.410462,154.90546)"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path3020"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<rect
style="opacity:0.98999999;fill:#000000;fill-opacity:0.1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect16159"
width="62.007866"
height="70.866119"
x="177.16536"
y="113.3858" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 177.16535,166.53541 7.92662,5.2844 -7.92662,5.34552"
id="path4425"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 177.16535,122.24407 -44.29133,0 0,-17.71653 -35.433075,0"
style="fill:none;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 177.16535,122.24407 -44.29133,0 0,-17.71653 -26.57481,0"
id="path16881"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
style="fill:none;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 132.87402,104.52754 141.73228,0"
id="path16883"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="178.93701"
y="125.78738"
id="text16885"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan16887"
x="178.93701"
y="125.78738">cnt</tspan></text>
<text
sodipodi:linespacing="125%"
id="text16889"
y="125.78738"
x="214.37007"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="125.78738"
x="214.37007"
id="tspan16891"
sodipodi:role="line">en_o</tspan></text>
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 274.6063,99.212581 c 0,8.858259 0,8.858259 0,17.716529 12.04146,0 17.71654,-3.5433 17.71654,-8.85827 0,-5.31496 -5.67508,-8.858259 -17.71654,-8.858259 z"
id="path3936"
inkscape:connector-curvature="0"
......@@ -147,7 +108,7 @@
y="111.61415"
x="331.29922"
id="tspan5333"
sodipodi:role="line">1</tspan></text>
sodipodi:role="line">0</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
......@@ -159,9 +120,9 @@
id="tspan5337"
x="331.29922"
y="86.81102"
style="font-size:10px;fill:#b2b2b2;fill-opacity:1">0</tspan></text>
style="font-size:10px;fill:#b2b2b2;fill-opacity:1">1</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
style="fill:none;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 177.16536,171.85037 -26.57481,0"
id="path4566"
inkscape:connector-curvature="0"
......@@ -174,7 +135,7 @@
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path4618"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.56;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
......@@ -187,18 +148,19 @@
x="148.81889"
sodipodi:role="line"
id="tspan3013"
style="text-align:end;text-anchor:end">clk20</tspan></text>
style="text-align:end;text-anchor:end">20 MHz</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
style="fill:none;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 239.17323,122.24407 17.71653,0 0,-10.62992 17.71654,0"
id="path16971"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 292.32283,108.07084 35.43308,0"
style="fill:none;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 292.32283,108.07084 8.85827,0"
id="path16973"
inkscape:connector-curvature="0" />
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#b2b2b2;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 186.02362,76.18106 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
......@@ -215,13 +177,13 @@
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
transform="matrix(0.24999996,0,0,0.24999996,165.9614,54.035404)" />
<path
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
style="fill:none;stroke:#b2b2b2;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 207.28346,83.267694 120.47245,0"
id="path4053"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
style="fill:none;stroke:#b2b2b2;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 186.02362,83.267694 -106.299211,0"
id="path17002"
inkscape:connector-curvature="0"
......@@ -230,7 +192,7 @@
inkscape:connector-curvature="0"
id="path17016"
d="m 377.3622,95.669269 -35.43307,0"
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
style="fill:none;stroke:#b2b2b2;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:type="arc"
style="fill:#b2b2b2;fill-opacity:1;fill-rule:nonzero;stroke:#b2b2b2;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
......@@ -242,47 +204,140 @@
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(-12.542293,99.984196)" />
<path
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 97.440945,83.267694 0,21.259846"
style="fill:none;stroke:#b2b2b2;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 97.440945,83.267694 0,21.259856 8.858265,-1e-5"
id="path17022"
inkscape:connector-curvature="0" />
<text
sodipodi:linespacing="125%"
id="text3010"
y="157.67714"
x="207.28346"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="157.67714"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<g
id="g3810">
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path3020"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(65.410462,154.90546)" />
<rect
y="113.3858"
x="177.16536"
height="70.866119"
width="62.007866"
id="rect16159"
style="opacity:0.98999999;fill:#000000;fill-opacity:0.1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<path
sodipodi:nodetypes="ccc"
inkscape:connector-curvature="0"
id="path4425"
d="m 177.16535,166.53541 7.92662,5.2844 -7.92662,5.34552"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text16885"
y="125.78738"
x="178.93701"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="125.78738"
x="178.93701"
id="tspan16887"
sodipodi:role="line">cnt</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="214.37007"
y="125.78738"
id="text16889"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan16891"
x="214.37007"
y="125.78738">en_o</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="207.28346"
id="tspan3012"
sodipodi:role="line"
style="font-size:12px;font-weight:bold;text-align:center;text-anchor:middle">&lt;1999</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3012"
y="141.73225"
x="178.93701"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="141.73225"
y="157.67714"
id="text3010"
sodipodi:linespacing="125%"><tspan
style="font-size:12px;font-weight:bold;text-align:center;text-anchor:middle"
sodipodi:role="line"
id="tspan3012"
x="207.28346"
y="157.67714">&lt;1999</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="178.93701"
id="tspan3014"
sodipodi:role="line">rst</tspan></text>
y="141.73225"
id="text3012"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3014"
x="178.93701"
y="141.73225">rst</tspan></text>
</g>
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.56;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path3016"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 a 1.7716535,1.7716535 0 1 1 -3.54331,0 1.7716535,1.7716535 0 1 1 3.54331,0 z"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(22.890778,138.96057)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
style="fill:none;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 132.87401,122.24407 0,15.94488 40.74804,0"
id="path3790"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="242.71654"
y="131.10236"
id="text3017"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3019"
x="242.71654"
y="131.10236"
style="font-size:6px">en_o = '1'</tspan><tspan
sodipodi:role="line"
x="242.71654"
y="138.60236"
id="tspan3025"
style="font-size:6px"><tspan
style="font-size:6px;font-weight:bold"
id="tspan3027">if</tspan> cnt &lt; 1999</tspan><tspan
sodipodi:role="line"
x="242.71654"
y="146.10236"
style="font-size:6px"
id="tspan3825">(line high for</tspan><tspan
sodipodi:role="line"
x="242.71654"
y="153.60236"
style="font-size:6px"
id="tspan3827">&lt;100 us)</tspan></text>
<rect
y="95.669281"
x="106.29921"
height="93.897598"
width="194.8819"
id="rect3779"
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:6, 2;stroke-dashoffset:0"
rx="8.858223"
ry="8.858223" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 221.95669,57.192925 26.57481,0"
id="path3036"
inkscape:connector-curvature="0"
transform="translate(79.224409,50.87793)" />
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="371.96677"
height="94.647644"
id="svg3259"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="pulse-cnt.svg">
<defs
id="defs3261" />
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8"
inkscape:cx="163.73485"
inkscape:cy="-22.945261"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
showguides="true"
inkscape:guide-bbox="true"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1">
<inkscape:grid
type="xygrid"
id="grid71895"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.5mm"
spacingy="0.5mm"
originx="-30.163826mm"
originy="-190.85889mm" />
</sodipodi:namedview>
<metadata
id="metadata3264">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-106.8797,-281.44291)">
<g
transform="translate(49.606305,12.401572)"
id="g6859">
<rect
y="311.811"
x="212.59842"
height="40.748032"
width="35.433071"
id="rect6649"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path6651"
d="m 225,341.92911 -5.31496,0 10.62992,0 0,-19.48819 10.62992,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="ccc"
inkscape:connector-curvature="0"
id="path6653"
d="m 226.77165,333.07084 3.54331,-3.5433 3.54331,3.5433"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
<g
id="g72719"
transform="translate(58.46457,12.401572)">
<rect
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect6857"
width="35.433071"
height="40.748032"
x="290.55118"
y="311.811" />
<text
sodipodi:linespacing="125%"
id="text6864"
y="339.47507"
x="292.55969"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:20px;font-weight:bold"
y="339.47507"
x="292.55969"
id="tspan6866"
sodipodi:role="line">+1</tspan></text>
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path71899"
d="m 297.6378,334.8425 51.37795,0"
style="fill:#000000;fill-opacity:0;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<g
id="g3838"
transform="translate(-17.71654,0)">
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#808080;fill-opacity:1;stroke:none;font-family:Sans"
x="148.81889"
y="324.21259"
id="text72715"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan72717"
x="148.81889"
y="324.21259"
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end;fill:#808080;fill-opacity:1">TTL</tspan></text>
<text
sodipodi:linespacing="125%"
id="text72724"
y="373.81888"
x="148.81889"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#808080;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end;fill:#808080;fill-opacity:1"
y="373.81888"
x="148.81889"
id="tspan72726"
sodipodi:role="line">Blo</tspan></text>
<g
id="g3825">
<path
style="fill:none;stroke:#808080;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 189.56693,341.92911 -21.25984,0 0,-21.25984 -17.71654,0"
id="path72681"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path72683"
d="m 189.56693,349.01573 -21.25984,0 0,21.25984 -17.71654,0"
style="fill:none;stroke:#808080;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:#ffffff;fill-opacity:1;stroke:#808080;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 185.02362,336.87137 c 5.16063,6.88084 5.16063,10.32125 0,17.20209 12.04146,0 20.64251,0 25.80315,-8.60104 -5.16064,-8.60105 -13.76169,-8.60105 -25.80315,-8.60105 z"
id="path3936"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
</g>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 460.62992,310.03937 17.71653,0 0,65.55118 -150.59054,0 0,-21.25985 21.25984,0"
id="path72701"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccccc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="133.92857"
y="317.36218"
id="text72703"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan72705"
x="133.92857"
y="317.36218" /></text>
<g
id="g3789"
transform="translate(37.110285,26.574805)">
<rect
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3008"
width="77.952759"
height="22.778404"
x="269.38577"
y="257.0163" />
<text
sodipodi:linespacing="125%"
id="text3010"
y="270.35083"
x="274.60629"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:bold"
y="270.35083"
x="274.60629"
id="tspan3012"
sodipodi:role="line">conv_regs</tspan></text>
</g>
<g
id="g4443"
transform="translate(0,8.8582839)">
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 446.45669,334.84252 5.31496,-8.85827 5.31496,8.85827"
id="path3794"
inkscape:connector-curvature="0" />
<rect
y="272.83463"
x="442.91339"
height="62.007889"
width="17.71653"
id="rect3019"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 292.44242,28.236252 30.11812,0 0,-17.716534 28.34645,0"
id="path3796"
inkscape:connector-curvature="0"
transform="translate(92.006394,315.46453)"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 384.44881,290.55118 58.46457,0"
id="path3798"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 384.44881,301.1811 58.46457,0"
id="path3800"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="439.37009"
y="288.77951"
id="text3813"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3815"
x="439.37009"
y="288.77951"
style="font-size:8px;text-align:end;text-anchor:end">load</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3821"
y="299.40945"
x="439.37009"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px;text-align:end;text-anchor:end"
y="299.40945"
x="439.37009"
id="tspan3823"
sodipodi:role="line">val</tspan></text>
<g
id="g6894"
transform="translate(72.637785,-76.18111)">
<rect
y="414.56689"
x="132.87401"
height="26.574816"
width="17.716543"
id="rect6886"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path6888"
d="m 132.87402,434.0551 3.5433,1.77165 -3.5433,1.77165"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
<g
id="g6898"
transform="translate(72.637785,-76.18111)">
<rect
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect6890"
width="17.716543"
height="26.574816"
x="159.44882"
y="414.56689" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 159.44883,434.0551 3.5433,1.77165 -3.5433,1.77165"
id="path6892"
inkscape:connector-curvature="0" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 223.22834,345.47243 8.85827,0"
id="path7100"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path4439"
d="m 193.11023,345.47243 12.40158,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 249.80314,345.47243 12.40158,0"
id="path4441"
inkscape:connector-curvature="0" />
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="671.97711"
height="420.33862"
id="svg3599"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="pulse-gen.svg">
<defs
id="defs3601" />
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.979899"
inkscape:cx="248.46279"
inkscape:cy="235.03555"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
showguides="true"
inkscape:guide-bbox="true">
<inkscape:grid
type="xygrid"
id="grid3607"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.5mm"
spacingy="0.5mm"
originx="-33.036796mm"
originy="-194.43554mm" />
</sodipodi:namedview>
<metadata
id="metadata3604">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-117.05951,56.921307)">
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 51.247573,205.74014 35.433071,0 0,15.94488 8.858268,0"
id="path3921"
inkscape:connector-curvature="0"
transform="translate(117.05951,-56.921307)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 51.247573,241.17321 35.433071,0 0,-12.40157 8.858268,0"
id="path3923"
inkscape:connector-curvature="0"
transform="translate(117.05951,-56.921307)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 51.247573,276.60628 53.149607,0 0,-23.03149 17.71653,0"
id="path3905"
inkscape:connector-curvature="0"
transform="translate(117.05951,-56.921307)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 655.51181,24.803102 62.00787,0"
id="path3922"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3914"
d="m 168.30708,-10.629969 549.2126,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="162.99213"
y="223.22829"
id="text4395"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4397"
x="162.99213"
y="223.22829"
style="text-align:end;text-anchor:end">trig_a_i</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 372.04724,219.68502 35.43307,0"
id="path4401"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path4403"
d="m 460.62992,219.68502 26.5748,-3e-5"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
sodipodi:nodetypes="cc" />
<g
id="g4413">
<rect
style="opacity:0.98999999;fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4383"
width="53.149605"
height="88.58268"
x="318.89764"
y="201.96848" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 318.89765,269.29132 10.62992,7.08661 -10.62992,7.08662"
id="path4385"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="322.44095"
y="223.22832"
id="text4405"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4407"
x="322.44095"
y="223.22832">Q</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4409"
y="223.22832"
x="361.41733"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="223.22832"
x="361.41733"
id="tspan4411"
sodipodi:role="line">D</tspan></text>
</g>
<rect
style="opacity:0.98999999;fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4423"
width="53.149605"
height="88.58268"
x="239.17323"
y="122.24406" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 239.17323,189.5669 10.62992,7.08661 -10.62992,7.08662"
id="path4425"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="242.71654"
y="147.0472"
id="text4427"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4429"
x="242.71654"
y="147.0472">Q</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4431"
y="147.0472"
x="281.69293"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="147.0472"
x="281.69293"
id="tspan4433"
sodipodi:role="line">D</tspan></text>
<g
transform="translate(88.582672,3.9048082e-6)"
id="g4435">
<rect
style="opacity:0.98999999;fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4437"
width="53.149605"
height="88.58268"
x="318.89764"
y="201.96848" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 318.89765,269.29132 10.62992,7.08661 -10.62992,7.08662"
id="path4439"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="322.44095"
y="223.22832"
id="text4441"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4443"
x="322.44095"
y="223.22832">Q</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4445"
y="223.22832"
x="361.41733"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="223.22832"
x="361.41733"
id="tspan4447"
sodipodi:role="line">D</tspan></text>
</g>
<text
sodipodi:linespacing="125%"
id="text4465"
y="122.24403"
x="212.59842"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="122.24403"
x="212.59842"
id="tspan4467"
sodipodi:role="line"
style="text-align:end;text-anchor:end">'1'</tspan></text>
<path
sodipodi:nodetypes="ccccc"
inkscape:connector-curvature="0"
id="path3095"
d="m 593.50393,129.33067 0,70.86614 15.94488,-7.08662 0,-56.69291 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text5331"
y="187.79523"
x="598.81891"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="187.79523"
x="598.81891"
id="tspan5333"
sodipodi:role="line">0</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="598.90924"
y="147.0472"
id="text5335"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5337"
x="598.90924"
y="147.0472"
style="font-size:10px">1</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="255.85788"
y="131.10231"
id="text4523"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4525"
x="255.85788"
y="131.10231">CLR</tspan></text>
<rect
style="opacity:0.98999999;fill:#000000;fill-opacity:0.1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4527"
width="79.724419"
height="115.15749"
x="487.20471"
y="175.39365" />
<text
sodipodi:linespacing="125%"
id="text4529"
y="187.79523"
x="565.15747"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="187.79523"
x="565.15747"
id="tspan4531"
sodipodi:role="line"
style="text-align:end;text-anchor:end">OGFon</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="565.15747"
y="223.2283"
id="text4533"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4535"
x="565.15747"
y="223.2283"
style="text-align:end;text-anchor:end">OGFoff</tspan></text>
<path
inkscape:connector-curvature="0"
id="path4564"
d="m 487.20467,269.29129 10.62992,7.08661 -10.62992,7.08662"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 318.89764,276.37793 -150.59056,0"
id="path4566"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 310.03937,276.37793 0,31.88974 168.30708,0 0,-31.88974 8.85827,0"
id="path4568"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 398.62205,308.26767 0,-31.88974 8.85826,0"
id="path4608"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
transform="translate(288.63881,324.98417)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path4614"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
transform="translate(200.05613,293.09443)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path4618"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text4622"
y="279.9212"
x="162.99213"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="279.9212"
x="162.99213"
id="tspan4624"
sodipodi:role="line"
style="text-align:end;text-anchor:end">clk_20_i</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="488.97638"
y="223.2283"
id="text4626"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4628"
x="488.97638"
y="223.2283">SGFoff</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 609.44882,164.76374 108.07086,0"
id="path4720"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text4722"
y="167.38336"
x="721.06299"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="167.38336"
x="721.06299"
id="tspan4724"
sodipodi:role="line">pulse_o</tspan></text>
<text
sodipodi:linespacing="125%"
id="text15558"
y="171.85033"
x="516.43378"
style="font-size:10.340312px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="171.85033"
x="516.43378"
id="tspan15560"
sodipodi:role="line">FSM</tspan></text>
<text
sodipodi:linespacing="125%"
id="text16076"
y="187.79521"
x="488.97638"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="187.79521"
x="488.97638"
id="tspan16078"
sodipodi:role="line">SGFon</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 566.92912,184.25192 26.57482,0"
id="path16096"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 602.36221,132.87397 0,-10.62995 -31.88976,0"
id="path16100"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="566.22345"
y="125.78732"
id="text16102"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan16104"
x="566.22345"
y="125.78732"
style="text-align:end;text-anchor:end">gf_en_n_i</tspan></text>
<text
style="font-size:15px"
id="text424"
x="487.20471"
y="308.26767">
<tspan
id="tspan426"
sodipodi:role="line"
y="308.26767"
x="487.20471 492.96472 499.52469"
style="font-size:8px;font-variant:normal;font-weight:bold;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans Bold;-inkscape-font-specification:DejaVuSans-Bold">SGFon</tspan>
</text>
<text
style="font-size:15px"
id="text428"
x="520.86615"
y="308.26767">
<tspan
id="tspan430"
sodipodi:role="line"
y="308.26767"
x="520.86615 523.75415 526.29016 531.36212 534.5061 539.40216 542.69812 545.84216 548.37811 554.92212 559.99414 564.91412 569.98615 572.52216 577.59412 579.81012 582.01813 585.16217 589.56213 594.63416 597.1701 602.21014 604.42615 607.56213 612.48212 615.77814 618.32214 620.53015 624.69812 627.23413 632.15411 637.22614 642.13013 647.20209 649.4101 654.33008"
style="font-size:8px;font-variant:normal;font-weight:normal;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans;-inkscape-font-specification:DejaVuSans">- Start when glitch filter is enabled</tspan>
</text>
<text
style="font-size:15px"
id="text432"
x="487.20471"
y="299.40939">
<tspan
id="tspan434"
sodipodi:role="line"
y="299.40939"
x="487.20471 492.96472 499.52469"
style="font-size:8px;font-variant:normal;font-weight:bold;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans Bold;-inkscape-font-specification:DejaVuSans-Bold">SGFoff</tspan>
</text>
<text
style="font-size:15px"
id="text436"
x="520.86615"
y="299.40939">
<tspan
id="tspan438"
sodipodi:role="line"
y="299.40939"
x="520.86615 523.75415 526.29016 531.36212 534.5061 539.40216 542.69812 545.84216 548.37811 554.92212 559.99414 564.91412 569.98615 572.52216 577.59412 579.81012 582.01813 585.16217 589.56213 594.63416 597.1701 602.21014 604.42615 607.56213 612.48212 615.77814 618.32214 620.53015 624.69812 627.23413 632.30609 634.52209 638.68213 643.58612 648.65808 650.86615 655.78607"
style="font-size:8px;font-variant:normal;font-weight:normal;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans;-inkscape-font-specification:DejaVuSans">- Start when glitch filter is disabled</tspan>
</text>
<text
style="font-size:15px"
id="text440"
x="487.20471"
y="325.98419">
<tspan
id="tspan442"
sodipodi:role="line"
y="325.98419"
x="487.20471 494.00473 500.5647"
style="font-size:8px;font-variant:normal;font-weight:bold;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans Bold;-inkscape-font-specification:DejaVuSans-Bold">OGFon</tspan>
</text>
<text
style="font-size:15px"
id="text444"
x="520.86615"
y="325.98419">
<tspan
id="tspan446"
sodipodi:role="line"
y="325.98419"
x="520.86615 523.74615 526.28217 532.57819 537.65015 540.79413 545.86615 550.93817 554.07416 556.61816 563.15417 568.2262 573.14618 578.21814 580.76215 585.83417 588.04218 590.25812 593.39417 597.79413 602.86615 605.41016 610.45013 612.65814 615.80219 620.72217 624.01813 626.55414 628.77014 632.93011 635.47418 640.3941 645.46613 650.36218 655.43414 657.65015 662.57013"
style="font-size:8px;font-variant:normal;font-weight:normal;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans;-inkscape-font-specification:DejaVuSans">- Output when glitch filter is enabled</tspan>
<tspan
sodipodi:role="line"
y="325.98419"
x="520.86615 523.74615 526.28217 532.57819 537.65015 540.79413 545.86615 550.93817 554.07416 556.61816 563.15417 568.2262 573.14618 578.21814 580.76215 585.83417 588.04218 590.25812 593.39417 597.79413 602.86615 605.41016 610.45013 612.65814 615.80219 620.72217 624.01813 626.55414 628.77014 632.93011 635.47418 640.3941 645.46613 650.36218 655.43414 657.65015 662.57013"
style="font-size:8px;font-variant:normal;font-weight:normal;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans;-inkscape-font-specification:DejaVuSans"
id="tspan3115" />
</text>
<text
style="font-size:15px"
id="text448"
x="487.20471"
y="317.12592">
<tspan
id="tspan450"
sodipodi:role="line"
y="317.12592"
x="487.20471 494.00473 500.5647"
style="font-size:8px;font-variant:normal;font-weight:bold;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans Bold;-inkscape-font-specification:DejaVuSans-Bold">OGFoff</tspan>
</text>
<text
style="font-size:15px"
id="text452"
x="520.86615"
y="317.12592">
<tspan
id="tspan454"
sodipodi:role="line"
y="317.12592"
x="520.86615 523.74615 526.28217 532.57819 537.65015 540.79413 545.86615 550.93817 554.07416 556.61816 563.15417 568.2262 573.14618 578.21814 580.76215 585.83417 588.04218 590.25812 593.39417 597.79413 602.86615 605.41016 610.45013 612.65814 615.80219 620.72217 624.01813 626.55414 628.77014 632.93011 635.47418 640.54614 642.75415 646.92212 651.81818 656.89014 659.10614 664.02612"
style="font-size:8px;font-variant:normal;font-weight:normal;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans;-inkscape-font-specification:DejaVuSans">- Output when glitch filter is disabled</tspan>
</text>
<text
sodipodi:linespacing="125%"
id="text3071"
y="171.85034"
x="242.71654"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="171.85034"
x="242.71654"
id="tspan3073"
sodipodi:role="line">EN</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3077"
y="187.79521"
x="162.99213"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="187.79521"
x="162.99213"
id="tspan3079"
sodipodi:role="line"
style="text-align:end;text-anchor:end">gf_en_n_i</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="322.44092"
y="249.80312"
id="text3081"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3083"
x="322.44092"
y="249.80312">EN</tspan></text>
<path
inkscape:connector-curvature="0"
id="path3085"
d="m 310.03937,246.2598 8.85826,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="262.20471"
y="260.43301"
id="text3087"
sodipodi:linespacing="125%"><tspan
style="text-align:end;text-anchor:end"
sodipodi:role="line"
id="tspan3089"
x="262.20471"
y="260.43301">gf_en_n_i</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3091"
y="249.80312"
x="411.02362"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="249.80312"
x="411.02362"
id="tspan3093"
sodipodi:role="line">EN</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 372.04723,246.2598 35.43308,0"
id="path3101"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 436.19937,85.550414 -301.1811,0"
id="path3903"
inkscape:connector-curvature="0"
transform="translate(157.30457,57.953476)"
sodipodi:nodetypes="cc" />
<path
transform="translate(200.05613,160.22039)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path3907"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 152.7348,85.550414 0,76.181106 8.85827,0"
id="path3909"
inkscape:connector-curvature="0"
transform="translate(157.30457,57.953476)"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 409.62456,161.73152 62.00787,0 0,-115.157484 -363.18897,0 0,17.716536"
id="path3911"
inkscape:connector-curvature="0"
transform="translate(157.30457,57.953476)"
sodipodi:nodetypes="ccccc" />
<text
sodipodi:linespacing="125%"
id="text3913"
y="186.02357"
x="395.07874"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="186.02357"
x="395.07874"
id="tspan3915"
sodipodi:role="line">trig_a_i</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 232.4592,80.22441 88.58268,0"
id="path3917"
inkscape:connector-curvature="0"
transform="translate(166.16284,104.02751)"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text3099"
y="249.8031"
x="565.15747"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="249.8031"
x="565.15747"
id="tspan3101"
sodipodi:role="line">ERROR</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="721.06299"
y="249.8031"
id="text3109"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3111"
x="721.06299"
y="249.8031">pulse_err_p_o</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 566.92913,246.25979 150.59055,0"
id="path3113"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
y="336.61414"
x="487.20471"
id="text3117"
style="font-size:15px">
<tspan
style="font-size:8px;font-variant:normal;font-weight:bold;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans Bold;-inkscape-font-specification:DejaVuSans-Bold"
sodipodi:role="line"
id="tspan3119"
x="487.20471"
y="336.61414">ERROR</tspan>
</text>
<text
y="336.61414"
x="520.86615"
id="text3137"
style="font-size:15px">
<tspan
id="tspan3141"
style="font-size:8px;font-variant:normal;font-weight:normal;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans;-inkscape-font-specification:DejaVuSans"
x="520.86615 520.86615 520.86615 520.86615 523.74615 526.28217 532.57819 537.65015 540.79413 545.86615 550.93817 554.07416 556.61816 563.15417 568.2262 573.14618 578.21814 580.76215 585.83417 588.04218 590.25812 593.39417 597.79413 602.86615 605.41016 610.45013 612.65814 615.80219 620.72217 624.01813 626.55414 628.77014 632.93011 635.47418 640.3941 645.46613 650.36218 655.43414 657.65015 662.57013"
y="336.61414 336.61414 336.61414 336.61414"
sodipodi:role="line" />
</text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="520.86615"
y="336.61414"
id="text3145"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3147"
x="520.86615"
y="336.61414"
style="font-size:8px">- Pulse arrived within one pulse period of</tspan><tspan
sodipodi:role="line"
x="520.86615"
y="346.61414"
style="font-size:8px"
id="tspan3149">a previous pulse</tspan></text>
<rect
style="fill:none;stroke:#000000;stroke-width:4;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3115"
width="513.77954"
height="292.32288"
x="19.860779"
y="-34.933071"
transform="translate(166.16284,104.02751)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="323.78058"
y="86.810974"
id="text3885"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3887"
x="323.78058"
y="86.810974"
style="font-size:16px;font-weight:bold">g_pgen_fixed_width = true</tspan></text>
<rect
y="-54.921307"
x="186.02362"
height="97.440926"
width="513.77954"
id="rect3889"
style="fill:none;stroke:#000000;stroke-width:4;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="721.06299"
y="-8.8583155"
id="text3891"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3893"
x="721.06299"
y="-8.8583155">pulse_o</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3895"
y="-8.8583155"
x="162.99213"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:end;text-anchor:end"
y="-8.8583155"
x="162.99213"
id="tspan3897"
sodipodi:role="line">trig_a_i</tspan></text>
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3899"
sodipodi:cx="20.746605"
sodipodi:cy="64.279526"
sodipodi:rx="3.5433037"
sodipodi:ry="3.5433037"
d="m 24.289909,64.279526 a 3.5433037,3.5433037 0 1 1 -7.086608,0 3.5433037,3.5433037 0 1 1 7.086608,0 z"
transform="translate(165.27701,119.97238)" />
<path
transform="translate(165.27701,155.40545)"
d="m 24.289909,64.279526 a 3.5433037,3.5433037 0 1 1 -7.086608,0 3.5433037,3.5433037 0 1 1 7.086608,0 z"
sodipodi:ry="3.5433037"
sodipodi:rx="3.5433037"
sodipodi:cy="64.279526"
sodipodi:cx="20.746605"
id="path3901"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3904"
sodipodi:cx="20.746605"
sodipodi:cy="64.279526"
sodipodi:rx="3.5433037"
sodipodi:ry="3.5433037"
d="m 24.289909,64.279526 c 0,1.956912 -1.586391,3.543303 -3.543304,3.543303 -1.956913,0 -3.543304,-1.586391 -3.543304,-3.543303 0,-1.956913 1.586391,-3.543304 3.543304,-3.543304 1.956913,0 3.543304,1.586391 3.543304,3.543304 z"
transform="translate(165.27701,212.09838)" />
<path
transform="translate(679.05654,100.48421)"
d="m 24.289909,64.279526 c 0,1.956912 -1.586391,3.543303 -3.543304,3.543303 -1.956913,0 -3.543304,-1.586391 -3.543304,-3.543303 0,-1.956913 1.586391,-3.543304 3.543304,-3.543304 1.956913,0 3.543304,1.586391 3.543304,3.543304 z"
sodipodi:ry="3.5433037"
sodipodi:rx="3.5433037"
sodipodi:cy="64.279526"
sodipodi:cx="20.746605"
id="path3906"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3908"
sodipodi:cx="20.746605"
sodipodi:cy="64.279526"
sodipodi:rx="3.5433037"
sodipodi:ry="3.5433037"
d="m 24.289909,64.279526 c 0,1.956912 -1.586391,3.543303 -3.543304,3.543303 -1.956913,0 -3.543304,-1.586391 -3.543304,-3.543303 0,-1.956913 1.586391,-3.543304 3.543304,-3.543304 1.956913,0 3.543304,1.586391 3.543304,3.543304 z"
transform="translate(679.05654,181.98027)" />
<path
transform="translate(679.05654,-74.909494)"
d="m 24.289909,64.279526 c 0,1.956912 -1.586391,3.543303 -3.543304,3.543303 -1.956913,0 -3.543304,-1.586391 -3.543304,-3.543303 0,-1.956913 1.586391,-3.543304 3.543304,-3.543304 1.956913,0 3.543304,1.586391 3.543304,3.543304 z"
sodipodi:ry="3.5433037"
sodipodi:rx="3.5433037"
sodipodi:cy="64.279526"
sodipodi:cx="20.746605"
id="path3910"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3912"
sodipodi:cx="20.746605"
sodipodi:cy="64.279526"
sodipodi:rx="3.5433037"
sodipodi:ry="3.5433037"
d="m 24.289909,64.279526 c 0,1.956912 -1.586391,3.543303 -3.543304,3.543303 -1.956913,0 -3.543304,-1.586391 -3.543304,-3.543303 0,-1.956913 1.586391,-3.543304 3.543304,-3.543304 1.956913,0 3.543304,1.586391 3.543304,3.543304 z"
transform="translate(165.27701,-74.909494)" />
<text
sodipodi:linespacing="125%"
id="text3916"
y="28.346409"
x="721.06299"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="28.346409"
x="721.06299"
id="tspan3918"
sodipodi:role="line">pulse_err_o</tspan></text>
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3920"
sodipodi:cx="20.746605"
sodipodi:cy="64.279526"
sodipodi:rx="3.5433037"
sodipodi:ry="3.5433037"
d="m 24.289909,64.279526 c 0,1.956912 -1.586391,3.543303 -3.543304,3.543303 -1.956913,0 -3.543304,-1.586391 -3.543304,-3.543303 0,-1.956913 1.586391,-3.543304 3.543304,-3.543304 1.956913,0 3.543304,1.586391 3.543304,3.543304 z"
transform="translate(679.05654,-39.476424)" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="639.56696"
y="28.346409"
id="text3924"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3926"
x="639.56696"
y="28.346409">'0'</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3928"
y="-28.346504"
x="323.78058"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:16px;font-weight:bold"
y="-28.346504"
x="323.78058"
id="tspan3930"
sodipodi:role="line">g_pgen_fixed_width = false</tspan></text>
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 212.59842,159.44876 c 0,8.85826 0,8.85826 0,17.71653 12.04146,0 17.71654,-3.5433 17.71654,-8.85827 0,-5.31496 -5.67508,-8.85826 -17.71654,-8.85826 z"
id="path3936"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3787"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 a 7.0866141,7.0866141 0 1 1 -14.17323,0 7.0866141,7.0866141 0 1 1 14.17323,0 z"
transform="matrix(0.24999996,0,0,0.24999996,250.68898,220.57081)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 97.310565,175.62203 15.944885,0 0,24.80315 8.85826,0"
id="path3900"
inkscape:connector-curvature="0"
transform="translate(117.05951,-56.921307)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 230.31496,168.30704 8.85826,0"
id="path3902"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path3913"
d="m 292.32283,237.40152 c 0,8.85826 0,8.85826 0,17.71653 12.04146,0 17.71654,-3.5433 17.71654,-8.85827 0,-5.31496 -5.67508,-8.85826 -17.71654,-8.85826 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="translate(165.27701,84.53931)"
d="m 24.289909,64.279526 a 3.5433037,3.5433037 0 1 1 -7.086608,0 3.5433037,3.5433037 0 1 1 7.086608,0 z"
sodipodi:ry="3.5433037"
sodipodi:rx="3.5433037"
sodipodi:cy="64.279526"
sodipodi:cx="20.746605"
id="path3915"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="162.99213"
y="152.36214"
id="text3917"
sodipodi:linespacing="125%"><tspan
style="text-align:end;text-anchor:end"
sodipodi:role="line"
id="tspan3919"
x="162.99213"
y="152.36214">en_i</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3925"
y="237.40152"
x="262.20471"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="237.40152"
x="262.20471"
id="tspan3927"
sodipodi:role="line"
style="text-align:end;text-anchor:end">en_i</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 148.68852,290.77951 8.85827,0 0,8.85827 17.71653,0"
id="path3939"
inkscape:connector-curvature="0"
transform="translate(117.05951,-56.921307)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 171.72001,306.72439 -14.17322,0 0,8.85827 -8.85827,0"
id="path3941"
inkscape:connector-curvature="0"
transform="translate(117.05951,-56.921307)" />
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="290.5"
height="148"
id="svg3211"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="pulse-led.svg">
<defs
id="defs3213">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3062"
style="overflow:visible">
<path
id="path3064"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path4095"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3142"
style="overflow:visible">
<path
id="path3144"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8284271"
inkscape:cx="104.9022"
inkscape:cy="58.411083"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
showguides="true"
inkscape:guide-bbox="true">
<inkscape:grid
type="xygrid"
id="grid3236"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
spacingx="1px"
spacingy="1px"
originx="40px"
originy="-744px" />
</sodipodi:namedview>
<metadata
id="metadata3216">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(40,-160.36218)">
<rect
y="227.36218"
x="60"
height="44.999996"
width="75"
id="rect3779"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:0"
rx="5"
ry="5" />
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;marker-start:none"
d="m 147.81815,161.36218 0,146"
id="path4728"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="142.5"
y="176.36218"
id="text4947"
sodipodi:linespacing="125%"><tspan
id="tspan4949"
sodipodi:role="line"
x="142.5"
y="176.36218"
style="font-size:14px;font-weight:bold;text-align:end;text-anchor:end">FPGA</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4951"
y="176.36218"
x="152.5"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:14px;font-weight:bold;text-align:start;text-anchor:start;opacity:0.3"
y="176.36218"
x="152.5"
sodipodi:role="line"
id="tspan4953">Board</tspan></text>
<path
transform="matrix(2,0,0,2,122.78516,142.80416)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path5613"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 102.16535,242.36218 5.62991,0 0,5.37004 8.85827,0 0,-5.37004 10.51182,0"
id="path5345"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccccc" />
<path
inkscape:connector-curvature="0"
id="path5357"
d="m 60,257.36218 75,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="cc" />
<g
id="g5367"
transform="translate(-524.68504,108.54325)">
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 602.36221,141.73229 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
id="path5323"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5325"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
transform="matrix(0.24999996,0,0,0.24999996,581.98819,119.58663)" />
</g>
<path
sodipodi:nodetypes="cc"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
d="m 30,257.36218 25,0"
id="path4313"
inkscape:connector-curvature="0" />
<rect
y="162.36218"
x="-40"
height="145"
width="65"
id="rect4950"
style="opacity:0.3;fill:#e5e5e5;fill-opacity:1;stroke-width:0.56;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0" />
<path
inkscape:connector-curvature="0"
id="path4952"
d="m 25,162.36218 0,145"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="20"
y="260.36218"
id="text4969"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4971"
x="20"
y="260.36218"
style="text-align:end;text-anchor:end">led_pulse_o</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4973"
y="172.36218"
x="22.294922"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="172.36218"
x="22.294922"
id="tspan4975"
sodipodi:role="line"
style="font-weight:bold;text-align:end;text-anchor:end">Conv.</tspan><tspan
y="184.86218"
x="22.294922"
sodipodi:role="line"
id="tspan4977"
style="font-weight:bold;text-align:end;text-anchor:end">common</tspan><tspan
y="197.36218"
x="22.294922"
sodipodi:role="line"
id="tspan4979"
style="font-weight:bold;text-align:end;text-anchor:end">gateware</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="185"
y="202.36218"
id="text4336"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="185"
y="202.36218"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan4338">Blo. output</tspan><tspan
sodipodi:role="line"
x="185"
y="209.86218"
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan4340">(rear panel)</tspan></text>
<path
sodipodi:nodetypes="ccc"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
d="m 60,162.36218 0,40 100,0"
id="path4344"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path4348"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,122.78516,87.804158)" />
<text
sodipodi:linespacing="125%"
id="text3769"
y="236.36218"
x="83"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:center;text-anchor:middle"
y="236.36218"
x="83"
sodipodi:role="line"
id="tspan4961"><tspan
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan4965">6x</tspan> LED logic</tspan></text>
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 30.511816,247.73222 5.629914,0 0,-5.37004 8.85827,0 0,5.37004 10.511816,0"
id="path4424"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccccc" />
<g
id="g4554"
style="opacity:0.3"
transform="translate(-0.57153918,-0.62987445)">
<path
inkscape:connector-curvature="0"
id="path3757"
d="m 179.57154,251.27559 0,17.71646 18,-11 -18,-10 z"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="ccccc" />
<path
transform="matrix(0.24999892,0,0,0.24999892,159.93786,228.7599)"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
sodipodi:cx="159.44882"
id="path3759"
style="fill:none;stroke:#000000;stroke-width:2.00000858;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
inkscape:connector-curvature="0"
id="path3607"
d="m 182.87424,259.80552 3.62692,0 1.20898,-3.62693 2.41795,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 190.12809,256.17859 -3.62692,0 -1.20898,3.62693 -2.41795,0"
id="path3609"
inkscape:connector-curvature="0" />
</g>
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 225.5,97 39,0"
id="path4562"
inkscape:connector-curvature="0"
transform="translate(-85.5,160.36218)"
sodipodi:nodetypes="cc" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 325.5,97 5,0 0,15"
id="path4566"
inkscape:connector-curvature="0"
transform="translate(-85.5,160.36218)"
sodipodi:nodetypes="ccc" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 286.5,97 13,0 2,-3 3,6 3,-6 3,6 3,-6 3,6 3,-6 3,6 2,-3 1,0"
id="path4570"
inkscape:connector-curvature="0"
transform="translate(-85.5,160.36218)" />
<g
transform="matrix(0,-1,1,0,28.858298,338.07121)"
id="g4128"
style="opacity:0.3">
<g
style="stroke-width:0.23634885;stroke-miterlimit:4;stroke-dasharray:none"
id="g6474"
transform="matrix(0,-1.0577593,1.0577593,0,-192.41869,279.87711)">
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path6476"
d="m 60.283363,228.95835 -5.052989,8.23731 10.049478,0 z"
style="fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:0.23634885;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
transform="matrix(0,0.47269769,-0.47269769,0,162.45305,212.20922)"
inkscape:connector-curvature="0"
id="path6480"
d="m 67.322842,216.20147 -14.173236,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6482"
d="m 60.255113,232.15897 0,-11.76127"
style="fill:none;stroke:#000000;stroke-width:0.47269768px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 325.5,137 10,0"
id="path4601"
inkscape:connector-curvature="0"
transform="matrix(-0.94539467,0,0,-0.94539467,372.70805,349.91677)" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6484"
d="m 56.850744,210.82674 -3.543309,-3.60447"
style="fill:none;stroke:#000000;stroke-width:0.50000036px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6486"
d="m 51.53578,210.82674 -3.533467,-3.63435"
style="fill:none;stroke:#000000;stroke-width:0.50000036px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
inkscape:connector-curvature="0"
id="path3128"
d="m 49.6063,212.59842 0,7.08662"
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="649.06592"
height="286.4646"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="pulse-rep.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path4441"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="Arrow1Mend"
orient="auto"
refY="0"
refX="0"
id="Arrow1Mend"
style="overflow:visible">
<path
id="path4305"
d="M 0,0 5,-5 -12.5,0 5,5 0,0 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="matrix(-0.4,0,0,-0.4,-4,0)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="3.959798"
inkscape:cx="370.43736"
inkscape:cy="148.05837"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
showguides="true"
inkscape:guide-bbox="true">
<inkscape:grid
type="xygrid"
id="grid3765"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.5mm"
spacingy="0.5mm"
originx="0.53971377mm"
originy="-207.07666mm" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(1.9123726,-32.161435)">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 425.33757,98.940954 -7.08662,0"
id="path3978"
inkscape:connector-curvature="0"
transform="translate(-1.9123726,32.161435)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 373.8189,138.189 33.66141,0"
id="path3193"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 402.16535,92.126011 9.62957,0 0,23.031499"
id="path4013"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
inkscape:connector-curvature="0"
id="path9972"
d="m 434.05512,155.90554 -10.62992,0 0,-56.692915 49.6063,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 407.48031,178.93704 15.94489,0 0,-14.17323 12.40157,0"
id="path9391"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<rect
style="fill:#e5e5e5;fill-opacity:1;stroke:none"
id="rect5381"
width="636.02283"
height="38.976234"
x="8.8582668"
y="272.83469" />
<path
inkscape:connector-curvature="0"
id="path3908"
d="m 17.716534,294.09446 17.716536,0"
style="fill:none;stroke:#808080;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" />
<path
inkscape:connector-curvature="0"
id="path3910"
d="m 44.291337,294.09446 8.858268,0 0,-17.71653 8.858268,0 0,17.71653 26.574805,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="414.56693"
y="290.55121"
id="text5383"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5385"
x="414.56693"
y="290.55121"
style="font-weight:bold;text-align:center;text-anchor:middle">Blocking</tspan><tspan
sodipodi:role="line"
x="414.56693"
y="303.05121"
id="tspan5387"
style="font-weight:bold;text-align:center;text-anchor:middle">side</tspan></text>
<rect
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect2987"
width="7.0866141"
height="17.716536"
x="58.464577"
y="138.18895" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 97.440944,120.47247 -62.00787,-5e-5"
id="path3767"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 62.007874,120.47242 0,17.71653"
id="path3769"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 62.007874,155.90549 0,14.17323"
id="path3771"
inkscape:connector-curvature="0" />
<g
transform="translate(77.952759,3.5943495e-5)"
id="g3783">
<path
inkscape:connector-curvature="0"
id="path3785"
d="m 141.73228,113.3858 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0.24999996,0,0,0.24999996,121.67006,91.240144)"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
sodipodi:cx="159.44882"
id="path3787"
style="fill:none;stroke:#000000;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 354.33071,141.73231 -8.85827,0 0,157.67718 -333.070866,-1e-5"
id="path3872"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 455.31496,161.2205 23.0315,-2e-5"
id="path3882"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
id="g9384"
transform="translate(35.317056,0)">
<rect
y="143.50394"
x="442.91339"
height="49.606312"
width="26.574802"
id="rect3884"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text3886"
y="171.94724"
x="448.34436"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:bold"
y="171.94724"
x="448.34436"
id="tspan3888"
sodipodi:role="line">PG</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 331.29921,115.15751 0,-24.803167"
id="path5139"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
id="g3940"
transform="translate(40.748031,-136.41727)">
<path
inkscape:connector-curvature="0"
id="path3795"
d="m 283.46457,248.03147 0,46.063 14.17323,-7.08662 0,-31.88976 -14.17323,-7.08662"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text3890"
y="287.00784"
x="287.00787"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="287.00784"
x="287.00787"
id="tspan3892"
sodipodi:role="line">1</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="287.00787"
y="262.20471"
id="text3894"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3896"
x="287.00787"
y="262.20471"
style="font-size:10px">0</tspan></text>
</g>
<path
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 17.716535,86.811001 17.716535,0"
id="path3900"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 44.291337,86.811001 8.858268,0 0,-17.716535 8.858268,0 0,17.716535 26.574805,0"
id="path3902"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path3904"
d="m 44.291337,95.669269 8.858268,0 0,17.716541 8.858268,0 0,-17.716541 26.574805,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
inkscape:connector-curvature="0"
id="path3906"
d="m 17.716535,113.38581 17.716535,0"
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" />
<path
inkscape:connector-curvature="0"
id="path3914"
d="m 106.29921,99.21261 17.71654,0"
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" />
<path
inkscape:connector-curvature="0"
id="path3916"
d="m 132.87401,116.92914 8.85827,0 0,-17.71653 8.85827,0 0,17.71653 26.57481,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 132.87401,76.181112 8.85827,0 0,17.716538 8.85827,0 0,-17.716538 26.57481,0"
id="path3918"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 106.29921,76.181114 17.71654,0"
id="path3920"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 442.91338,139.96066 8.85827,0 0,-17.71653 8.85827,0 0,17.71653 26.57481,0"
id="path3959"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path3961"
d="m 513.77952,139.96066 8.85827,0 0,-17.71653 8.85827,0 0,17.71653 26.57481,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
inkscape:connector-curvature="0"
id="path3963"
d="m 504.92126,161.22048 10.62995,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 216.14173,108.07088 17.71653,0"
id="path3965"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 242.71653,108.07088 8.85827,0 0,-17.716526 8.85827,0 0,17.716526 26.5748,0"
id="path3967"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path3969"
d="m 216.14173,152.36222 17.71653,0"
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" />
<path
inkscape:connector-curvature="0"
id="path3971"
d="m 235.62992,170.07875 8.85827,0 0,-17.71653 8.85827,0 0,17.71653 26.5748,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 203.74016,120.47245 15.94488,0"
id="path4051"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 175.53442,78.95279 0,28.34646 120.47244,2e-5"
id="path5191"
inkscape:connector-curvature="0"
transform="translate(28.205738,41.519663)"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 240.94488,120.47245 83.26772,2e-5"
id="path4053"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 66.051181,78.95279 85.039369,0"
id="path4057"
inkscape:connector-curvature="0"
transform="translate(52.649606,41.519663)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 301.6811,93.126037 -15.94488,0"
id="path4059"
inkscape:connector-curvature="0"
transform="translate(52.649606,41.519663)"
sodipodi:nodetypes="cc" />
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 349.01575,129.58796 c 5.16063,6.88084 5.16063,10.32125 0,17.20209 12.04146,0 20.64251,0 25.80315,-8.60104 -5.16064,-8.60105 -13.76169,-8.60105 -25.80315,-8.60105 z"
id="path3936"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<g
id="g5291"
transform="translate(-42.519695,14.40947)">
<rect
y="118.46458"
x="315.35434"
height="30.118111"
width="40.748032"
id="rect4171"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text4181"
y="130.11482"
x="335.3042"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4201"
style="font-size:10px;text-align:center;text-anchor:middle"
y="130.11482"
x="335.3042"
sodipodi:role="line">No sig.</tspan><tspan
style="font-size:10px;text-align:center;text-anchor:middle"
y="142.61482"
x="335.3042"
sodipodi:role="line"
id="tspan5930">detect</tspan></text>
</g>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
x="17.716534"
y="49.606312"
id="text4203"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4205"
x="17.716534"
y="49.606312"
style="font-style:normal;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1">TTL idle</tspan><tspan
sodipodi:role="line"
x="17.716534"
y="62.106312"
id="tspan4207"
style="font-style:normal;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1">(no sig.)</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4209"
y="42.519714"
x="65.551178"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
id="tspan4213"
y="42.519714"
x="65.551178"
sodipodi:role="line">TTL</tspan><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
y="55.019714"
x="65.551178"
sodipodi:role="line"
id="tspan3927">pulse</tspan><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
y="67.519714"
x="65.551178"
sodipodi:role="line"
id="tspan4217">sig.</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="203.74016"
y="51.377964"
id="text4284"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4286"
x="203.74016"
y="51.377964"
style="font-size:14px;font-weight:bold">FPGA</tspan></text>
<g
id="g3334"
transform="translate(0,186.17995)">
<rect
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect4288"
width="7.0866146"
height="17.716536"
x="147.05013"
y="-19.536495" />
<path
inkscape:connector-curvature="0"
id="path4290"
d="m 150.61019,-19.64449 0,-15.836887"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
inkscape:connector-curvature="0"
id="path5106"
d="m 150.59055,15.896576 0,-17.668217 0.003,17.668217"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
inkscape:connector-curvature="0"
id="path5108"
d="m 143.50681,17.668229 7.08662,8.858268 0,8.858268"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 147.05012,35.384765 7.08661,0"
id="path5110"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path5112"
d="m 150.59343,7.038308 74.40945,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
transform="translate(40.61019,23.754809)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path5114"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text5116"
y="3.5433195"
x="198.4252"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan5248"
style="text-align:start;text-anchor:start"
y="3.5433195"
x="198.4252"
sodipodi:role="line">ttlsel</tspan></text>
</g>
<g
transform="translate(-17.719415,230.3633)"
id="g3345">
<rect
y="-19.536495"
x="147.05013"
height="17.716536"
width="7.0866146"
id="rect3347"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 150.61019,-19.64449 0,-15.836887"
id="path3349"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 150.59055,15.896576 0,-17.668217 0.003,17.668217"
id="path3351"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 143.50681,17.668229 7.08662,8.858268 0,8.858268"
id="path3353"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path3355"
d="m 147.05012,35.384765 7.08661,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 150.59343,7.038308 92.12598,-4e-7"
id="path3357"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path3359"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(40.61019,23.754809)" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="217.91626"
y="3.5433195"
id="text3361"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="217.91626"
y="3.5433195"
style="text-align:start;text-anchor:start"
id="tspan3363">gfen</tspan></text>
<text
sodipodi:linespacing="125%"
id="text42593"
y="24.754841"
x="155.90839"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan42595"
style="text-align:start;text-anchor:start"
y="24.754841"
x="155.90839"
sodipodi:role="line">SW1.1</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="173.62492"
y="-17.764845"
id="text42597"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="173.62492"
y="-17.764845"
style="text-align:start;text-anchor:start"
id="tspan42599">SW2.4</tspan></text>
</g>
<path
transform="translate(93.756918,137.18895)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path5193"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text5141"
y="187.7953"
x="465.94489"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="187.7953"
x="465.94489"
id="tspan5143"
sodipodi:role="line"
style="text-align:end;text-anchor:end">gfen</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 478.34646,184.25198 -10.62992,0"
id="path5145"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="320.63538"
y="86.811035"
id="text5244"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5246"
x="320.63538"
y="86.811035">ttlsel</tspan></text>
<g
transform="translate(315.35432,-93.897599)"
id="g5327">
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 283.46457,248.03147 0,46.063 14.17323,-7.08662 0,-31.88976 -14.17323,-7.08662"
id="path5329"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="287.00787"
y="287.00784"
id="text5331"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5333"
x="287.00787"
y="287.00784"
style="font-size:10px">1</tspan></text>
<text
sodipodi:linespacing="125%"
id="text5335"
y="262.20471"
x="287.00787"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="262.20471"
x="287.00787"
id="tspan5337"
sodipodi:role="line">0</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 552.75589,198.42523 8.85827,0 0,17.71653 8.85827,0 0,-17.71653 26.5748,0"
id="path5345"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path5349"
d="m 515.55117,161.22049 81.49607,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
inkscape:connector-curvature="0"
id="path5351"
d="m 598.8189,161.22049 -3.54331,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path5353"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(405.56794,177.93699)" />
<path
inkscape:connector-curvature="0"
id="path5355"
d="m 605.93942,157.81964 0,-26.71726"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
inkscape:connector-curvature="0"
id="path5357"
d="m 515.55118,161.22049 0,28.34646 83.26772,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 598.8189,161.22049 -3.54331,0"
id="path5359"
inkscape:connector-curvature="0" />
<text
sodipodi:linespacing="125%"
id="text5361"
y="127.55906"
x="595.27557"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="127.55906"
x="595.27557"
id="tspan5363"
sodipodi:role="line">ttlsel</tspan></text>
<g
id="g5367"
transform="translate(-70.866148,40.748027)">
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 602.36221,141.73229 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
id="path5323"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5325"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
transform="matrix(0.24999996,0,0,0.24999996,581.98819,119.58663)" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 487.34544,148.04728 0,109.84252 131.10236,0"
id="path5371"
inkscape:connector-curvature="0"
transform="translate(28.205738,41.519663)"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 584.78639,137.41736 33.66141,0"
id="path5373"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc"
transform="translate(28.205738,41.519663)" />
<path
transform="translate(405.56794,206.28344)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path5375"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 58.464574,170.07872 7.08661,0"
id="path3773"
inkscape:connector-curvature="0" />
<g
id="g4425">
<g
id="g4433">
<g
id="g3761"
transform="matrix(0.99999584,0,0,0.99999584,-44.290747,5.9828542e-4)"
style="stroke-width:0.50000209;stroke-miterlimit:4;stroke-dasharray:none">
<path
inkscape:connector-curvature="0"
id="path3757"
d="m 141.73228,113.3858 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
style="fill:none;stroke:#000000;stroke-width:0.50000209;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0.24999996,0,0,0.24999996,121.67006,91.240144)"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
sodipodi:cx="159.44882"
id="path3759"
style="fill:none;stroke:#000000;stroke-width:2.00000858;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
</g>
<g
id="g3611"
transform="matrix(0.34119974,0,0,0.34119974,95.47928,47.329489)"
style="stroke-width:1.46541727;stroke-miterlimit:4;stroke-dasharray:none">
<path
transform="translate(-15.675442,33.433089)"
inkscape:connector-curvature="0"
id="path3607"
d="m 29.848669,186.25198 10.629922,0 3.543307,-10.62992 7.086614,0"
style="fill:none;stroke:#000000;stroke-width:1.46541727;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:1.46541727;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 35.43307,209.05515 -10.629922,0 -3.543307,10.62992 -7.086614,0"
id="path3609"
inkscape:connector-curvature="0" />
</g>
</g>
</g>
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path3126"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(-47.975365,137.18895)" />
<text
sodipodi:linespacing="125%"
id="text3929"
y="253.34648"
x="26.574802"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-style:normal;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1"
y="253.34648"
x="26.574802"
id="tspan3931"
sodipodi:role="line">Blo. idle</tspan><tspan
style="font-style:normal;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1"
id="tspan3933"
y="265.8465"
x="26.574802"
sodipodi:role="line">(no sig.)</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="74.409447"
y="246.25989"
id="text3935"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="74.409447"
y="246.25989"
id="tspan3937"
style="font-style:normal;text-align:center;text-anchor:middle">Blocking</tspan><tspan
id="tspan3939"
sodipodi:role="line"
x="74.409447"
y="258.75989"
style="font-style:normal;text-align:center;text-anchor:middle">pulse</tspan><tspan
id="tspan3941"
sodipodi:role="line"
x="74.409447"
y="271.25989"
style="font-style:normal;text-align:center;text-anchor:middle">sig.</tspan></text>
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path9389"
d="m 431.28346,152.61945 c 5.16063,6.88084 5.16063,10.32125 0,17.20209 12.04146,0 20.64251,0 25.80315,-8.60104 -5.16064,-8.60105 -13.76169,-8.60105 -25.80315,-8.60105 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<g
id="g9940"
transform="translate(-78.773869,-3.6401177)">
<rect
style="fill:none;stroke:#000000;stroke-width:0.55000001;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect9395"
width="45.062992"
height="40.747997"
x="440.87186"
y="161.31731" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="463.22269"
y="171.94724"
id="text9397"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan9399"
x="463.22269"
y="171.94724"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle">Manual</tspan><tspan
id="tspan9416"
sodipodi:role="line"
x="463.22269"
y="184.44724"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle">pulse</tspan><tspan
sodipodi:role="line"
x="463.22269"
y="196.94724"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan9403">trigger</tspan></text>
</g>
<g
transform="translate(32.159639,-74.506256)"
id="g9966">
<rect
y="161.31731"
x="440.87186"
height="26.57481"
width="47.15398"
id="rect9949"
style="fill:none;stroke:#000000;stroke-width:0.55000001;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text9951"
y="172.08273"
x="464.25354"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan9957"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
y="172.08273"
x="464.25354"
sodipodi:role="line">Pulse</tspan><tspan
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
y="184.58273"
x="464.25354"
sodipodi:role="line"
id="tspan9964">counter</tspan></text>
</g>
<rect
style="fill:none;stroke:#000000;stroke-width:3;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:18, 18;stroke-dashoffset:10.2"
id="rect9974"
width="434.05524"
height="283.4646"
x="196.79427"
y="0.22834618"
transform="translate(-1.9123726,33.433089)" />
<path
transform="translate(313.44196,115.92913)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path3169"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<g
id="g3171"
transform="translate(32.159639,-111.84649)">
<g
id="g3181">
<rect
y="161.31731"
x="437.32855"
height="26.57481"
width="53.149593"
id="rect3173"
style="fill:none;stroke:#000000;stroke-width:0.55000001;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text3175"
y="172.08273"
x="464.25354"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan3177"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
y="172.08273"
x="464.25354"
sodipodi:role="line">Pulse</tspan><tspan
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
y="184.58273"
x="464.25354"
sodipodi:role="line"
id="tspan3179">time-tag</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 425.33757,67.05119 0,-37.204724 46.06299,0"
id="path3187"
inkscape:connector-curvature="0"
transform="translate(-34.072012,144.00792)" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 379.13386,138.189 0,-17.71653 28.34645,0"
id="path3195"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path3197"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(269.15062,154.9055)" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="379.13385"
y="95.669319"
id="text3217"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3219"
x="379.13385"
y="95.669319">gfen</tspan></text>
<g
transform="translate(-56.808929,-49.703114)"
id="g4020">
<g
id="g4028"
transform="translate(35.433084,35.433068)">
<rect
y="127.6559"
x="404.05301"
height="14.173236"
width="17.716549"
id="rect4022"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text4024"
y="138.28583"
x="405.82468"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:bold"
y="138.28583"
x="405.82468"
id="tspan4026"
sodipodi:role="line">GF</tspan></text>
</g>
</g>
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path4047"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(313.44196,147.81889)" />
<g
id="g3985">
<path
sodipodi:nodetypes="ccccc"
inkscape:connector-curvature="0"
id="path3176"
d="m 406.47996,109.84255 0,42.51968 10.62993,-5.31497 0,-31.88976 -10.62993,-5.31495"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text3178"
y="141.73232"
x="409.17969"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px"
y="141.73232"
x="409.17969"
id="tspan3180"
sodipodi:role="line">1</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="409.25195"
y="124.01578"
id="text3182"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3184"
x="409.25195"
y="124.01578"
style="font-size:8px">0</tspan></text>
</g>
</g>
</svg>
......@@ -9,14 +9,14 @@
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="197"
height="111"
id="svg3130"
width="310.41797"
height="162"
id="svg4040"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="timetag-ring-buf.svg">
sodipodi:docname="rs485-inp.svg">
<defs
id="defs3132">
id="defs4042">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
......@@ -25,7 +25,7 @@
id="TriangleOutM"
style="overflow:visible">
<path
id="path4211"
id="path3992"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
......@@ -36,10 +36,10 @@
orient="auto"
refY="0"
refX="0"
id="marker3080"
id="marker4023"
style="overflow:visible">
<path
id="path3082"
id="path4025"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
......@@ -50,10 +50,10 @@
orient="auto"
refY="0"
refX="0"
id="marker3084"
id="TriangleOutM-9"
style="overflow:visible">
<path
id="path3086"
id="path4441"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
......@@ -64,10 +64,10 @@
orient="auto"
refY="0"
refX="0"
id="marker3088"
id="TriangleOutM-6"
style="overflow:visible">
<path
id="path3090"
id="path3992-3"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
......@@ -78,10 +78,10 @@
orient="auto"
refY="0"
refX="0"
id="marker3092"
id="marker5356"
style="overflow:visible">
<path
id="path3094"
id="path5358"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
......@@ -92,10 +92,10 @@
orient="auto"
refY="0"
refX="0"
id="marker3096"
id="marker3062"
style="overflow:visible">
<path
id="path3098"
id="path3064"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
......@@ -106,10 +106,10 @@
orient="auto"
refY="0"
refX="0"
id="marker3100"
id="marker3133"
style="overflow:visible">
<path
id="path3102"
id="path3135"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
......@@ -123,9 +123,9 @@
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="3.959798"
inkscape:cx="135.67931"
inkscape:cy="82.820703"
inkscape:zoom="2.8"
inkscape:cx="198.529"
inkscape:cy="68.648012"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
......@@ -137,21 +137,21 @@
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
fit-margin-bottom="0"
showguides="true"
inkscape:guide-bbox="true">
<inkscape:grid
type="xygrid"
id="grid3138"
id="grid4556"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
spacingx="0.5px"
spacingy="0.5px"
originx="-248.5px"
originy="-709.5px" />
originx="-29.582031px"
originy="-859px" />
</sodipodi:namedview>
<metadata
id="metadata3135">
id="metadata4045">
<rdf:RDF>
<cc:Work
rdf:about="">
......@@ -166,319 +166,343 @@
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-248.5,-231.86218)">
<rect
style="fill:none;stroke:#cccccc;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:6, 6;stroke-dashoffset:0"
id="rect4119"
width="40"
height="75.000031"
x="327.5"
y="232.36218" />
<rect
y="232.36218"
x="377.5"
height="74.999992"
width="67.5"
id="rect4129"
style="fill:none;stroke:#cccccc;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:6, 6;stroke-dashoffset:0" />
transform="translate(-29.582031,-31.362183)">
<path
style="fill:none;stroke:#cccccc;stroke-width:3;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:18, 6;stroke-dashoffset:25.8"
d="m 250,327.36218 97.5,0 0,-85"
id="path4226"
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;marker-start:none"
d="M 80,32.362183 80,192.36218"
id="path4728"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<rect
y="249.86218"
x="400.78735"
height="53.14962"
width="13.287388"
id="rect5794"
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<rect
y="249.86218"
x="414.07471"
height="53.14962"
width="13.287388"
id="rect5796"
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<rect
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect5798"
width="13.287388"
height="53.14962"
x="427.36212"
y="249.86218" />
<rect
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect6253"
width="13.287388"
height="53.14962"
x="387.5"
y="249.86218" />
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="250"
y="262.97513"
id="text5992"
x="85"
y="47.362183"
id="text4947"
sodipodi:linespacing="125%"><tspan
id="tspan4949"
sodipodi:role="line"
id="tspan5994"
x="250"
y="262.97513"
style="font-size:6px;text-align:start;text-anchor:start">Trigger channel</tspan></text>
x="85"
y="47.362183"
style="font-size:14px;font-weight:bold;text-align:start;text-anchor:start">FPGA</tspan></text>
<text
sodipodi:linespacing="125%"
id="text6033"
y="271.8334"
x="250"
id="text4951"
y="47.362183"
x="75"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"
inkscape:transform-center-x="10.430045"
inkscape:transform-center-y="5.4426047"><tspan
style="font-size:6px;text-align:start;text-anchor:start"
y="271.8334"
x="250"
id="tspan6035"
sodipodi:role="line">TAI time</tspan></text>
<text
inkscape:transform-center-y="5.4426046"
inkscape:transform-center-x="10.430045"
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="250"
y="280.69165"
id="text7512"
sodipodi:linespacing="125%"><tspan
xml:space="preserve"><tspan
style="font-size:14px;font-weight:bold;text-align:end;text-anchor:end;opacity:0.3"
y="47.362183"
x="75"
sodipodi:role="line"
id="tspan7514"
x="250"
y="280.69165"
style="font-size:6px;text-align:start;text-anchor:start">Cycles time</tspan></text>
id="tspan4953">Board</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#TriangleOutM)"
d="m 250,265.63264 132.5,0"
id="path4223"
style="opacity:0.3;fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 194.19685,103.76113 c 5.16063,6.88084 5.16063,10.32125 0,17.20209 12.04146,0 20.64251,0 25.80315,-8.60104 -5.16064,-8.60105 -13.76169,-8.60105 -25.80315,-8.60105 z"
id="path3936"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
sodipodi:nodetypes="cccc" />
<g
id="g5490">
<rect
style="opacity:0.3;fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3779"
width="80"
height="35"
x="95"
y="67.362183" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="135.16113"
y="81.217163"
id="text3769"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="135.16113"
y="81.217163"
id="tspan3773"
style="font-size:10px;text-align:center;text-anchor:middle">TTL/TTL-BAR</tspan><tspan
id="tspan3777"
sodipodi:role="line"
x="135.16113"
y="93.717163"
style="font-size:10px;text-align:center;text-anchor:middle">input logic</tspan></text>
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path5544"
d="m 180,85.36218 5,0 0,22 5,0"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 250,274.49091 132.5,0"
id="path5155"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 180,139.36218 5,0 0,-22 5,0"
id="path5546"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
sodipodi:nodetypes="cccc" />
<rect
y="117.36218"
x="90"
height="70"
width="84.999954"
id="rect3779-9"
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:6, 2;stroke-dashoffset:0"
rx="8.858223"
ry="8.858223" />
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 142,130.36218 c 0,8.85826 0,9.14173 0,18 12.04146,0 18,-3.68503 18,-9 0,-5.31496 -5.95854,-9 -18,-9 z"
id="path3936-1"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
transform="matrix(0.24999996,0,0,0.24999996,92.36615,138.12991)"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
sodipodi:cx="159.44882"
id="path5433"
style="fill:none;stroke:#000000;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 160,139.36218 15,0"
id="path5440"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 250,283.34917 132.5,0"
id="path5157"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 134,167.36218 4,0 0,-23 4,0"
id="path5450"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path5452"
d="m 112,158.36218 c 0,8.85826 0,9.14173 0,18 12.04146,0 18,-3.68503 18,-9 0,-5.31496 -5.95854,-9 -18,-9 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.56;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 142,134.36218 -72,0"
id="path5467"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text5159"
y="289.54993"
x="250"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:start;text-anchor:start"
y="289.54993"
x="250"
id="tspan5161"
sodipodi:role="line">White Rabbit time valid</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 250,292.20744 132.5,0"
id="path5163"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 112,172.36218 -42,0"
id="path5469"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 100,134.36218 0,28 12,0"
id="path5471"
inkscape:connector-curvature="0" />
<path
transform="translate(-9.983238,150.85034)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path4618"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.56;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text3220"
y="278.20865"
x="394.14224"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"
inkscape:transform-center-x="10.430045"
inkscape:transform-center-y="5.4426046"><tspan
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
y="278.20865"
x="394.14224"
id="tspan3222"
sodipodi:role="line">0</tspan></text>
<text
inkscape:transform-center-y="5.4426046"
inkscape:transform-center-x="10.430045"
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="407.29773"
y="278.20865"
id="text3224"
x="52"
y="133.36218"
id="text5484"
sodipodi:linespacing="125%"><tspan
id="tspan5488"
sodipodi:role="line"
id="tspan3226"
x="407.29773"
y="278.20865"
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle">1</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3228"
y="278.20865"
x="433.85199"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"
inkscape:transform-center-x="10.430051"
inkscape:transform-center-y="5.4426046"><tspan
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
y="278.20865"
x="433.85199"
id="tspan3230"
sodipodi:role="line">128</tspan></text>
x="52"
y="133.36218"
style="font-size:6px;text-align:center;text-anchor:middle">RS-485</tspan><tspan
sodipodi:role="line"
x="52"
y="140.86218"
style="font-size:6px;text-align:center;text-anchor:middle"
id="tspan5523">transceiver</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3232"
y="278.20865"
x="420.72131"
id="text5499"
y="170.36218"
x="52"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"
inkscape:transform-center-x="10.430045"
inkscape:transform-center-y="5.4425989"><tspan
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
y="278.20865"
x="420.72131"
id="tspan3234"
sodipodi:role="line">...</tspan></text>
<g
id="g4113">
<rect
ry="0"
rx="0"
style="fill:#fcfcfc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect3293"
width="20.000011"
height="53.14962"
x="337.5"
y="249.86218" />
<path
inkscape:connector-curvature="0"
id="path4105"
d="m 342.5,249.86218 0,52.5"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 347.5,249.86218 0,52.5"
id="path4107"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path4109"
d="m 352.5,249.86218 0,52.5"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
</g>
xml:space="preserve"><tspan
style="font-size:6px;text-align:center;text-anchor:middle"
y="170.36218"
x="52"
sodipodi:role="line"
id="tspan5501">failsafe</tspan><tspan
style="font-size:6px;text-align:center;text-anchor:middle"
y="177.86218"
x="52"
sodipodi:role="line"
id="tspan5525">transceiver</tspan></text>
<path
transform="matrix(2,0,0,2,54.78516,19.804161)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path5617"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5521"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,54.78516,57.804161)" />
<rect
y="32.362183"
x="270"
height="160"
width="70"
id="rect4950"
style="opacity:0.3;fill:#e5e5e5;fill-opacity:1;stroke-width:0.56;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0" />
<path
inkscape:connector-curvature="0"
id="path4952"
d="m 270,32.362183 0,159.999997"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="347.5"
y="239.86218"
id="text4121"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="275"
y="115.36218"
id="text4969"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="347.5"
y="239.86218"
id="tspan4125"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle;fill:#cccccc;fill-opacity:1">Sync. FIFO</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4131"
y="239.86218"
x="412.5"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle;fill:#cccccc;fill-opacity:1"
id="tspan4133"
y="239.86218"
x="412.5"
sodipodi:role="line">Buffer RAM</tspan></text>
id="tspan4971"
x="275"
y="115.36218">pulse_i</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4240"
y="342.36218"
x="327.5"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
id="text4973"
y="47.362183"
x="275"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4242"
style="font-size:16px;font-weight:bold;text-align:end;text-anchor:end;fill:#cccccc;fill-opacity:1"
y="342.36218"
x="327.5"
sodipodi:role="line">20 MHz</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="327.5"
y="322.36218"
id="text4244"
sodipodi:linespacing="125%"><tspan
y="47.362183"
x="275"
id="tspan4975"
sodipodi:role="line"
x="327.5"
y="322.36218"
style="font-size:16px;font-weight:bold;text-align:end;text-anchor:end;fill:#cccccc;fill-opacity:1"
id="tspan4246">125 MHz</tspan></text>
style="font-weight:bold">Conv.</tspan><tspan
y="59.862183"
x="275"
sodipodi:role="line"
id="tspan4977"
style="font-weight:bold">common</tspan><tspan
y="72.362183"
x="275"
sodipodi:role="line"
id="tspan4979"
style="font-weight:bold">gateware</tspan></text>
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
d="m 225,112.36218 10,0"
id="path3838"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<rect
style="fill:#cccccc;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect3053"
width="52.5"
height="20"
x="387.5"
y="322.36218" />
style="opacity:0.3;fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect5291"
width="9.84375"
height="35"
x="240.15625"
y="93.441269" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="413.85547"
y="330.24402"
id="text3865"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="240"
y="142.36218"
id="text5293"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="413.85547"
y="330.24402"
id="tspan3867"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle;fill:#000000;fill-opacity:1">Control</tspan><tspan
x="240"
y="142.36218"
style="font-size:10px;text-align:center;text-anchor:middle"
id="tspan5297">First pulse</tspan><tspan
id="tspan5301"
sodipodi:role="line"
x="413.85547"
y="337.74402"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle;fill:#000000;fill-opacity:1"
id="tspan3869">logic</tspan></text>
x="240"
y="154.86218"
style="font-size:10px;text-align:center;text-anchor:middle">inhibit</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path5305"
d="m 255,112.36218 10,0"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.56;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path3880"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(28.016762,184.07868)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="145.20943"
y="102.38651"
id="text4088"
sodipodi:linespacing="125%"
transform="translate(240.5,214.36218)"><tspan
id="text3769-5"
y="127.36218"
x="115"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:center;text-anchor:middle"
y="127.36218"
x="115"
sodipodi:role="line"
id="tspan4090"
x="145.20943"
y="102.38651" /></text>
id="tspan4961"><tspan
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan4965">6x</tspan> input logic</tspan></text>
<g
id="g4106"
transform="translate(1.25,0)">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3890"
d="m 405,322.36218 0,-10"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3100)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3100)"
d="m 420,322.36218 0,-10"
id="path4086"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3100)"
d="m 412.5,322.36218 0,-10"
id="path4104"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
id="g3909">
<rect
y="162.36218"
x="273"
height="13"
width="65"
id="rect3897"
style="fill:#ffffff;fill-opacity:1;stroke:#b2b2b2;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="274.93604"
y="172.50232"
id="text3893"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3895"
x="274.93604"
y="172.50232">LSR.REARFS</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 108.41797,136 37,0"
id="path3916"
inkscape:connector-curvature="0"
transform="translate(29.582031,31.362183)" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3133)"
d="m 150.41797,136 85,0"
id="path3918"
inkscape:connector-curvature="0"
transform="translate(29.582031,31.362183)" />
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="346.63464"
height="86.302528"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="rtm-detect.pdf">
<defs
id="defs4" />
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="3.959798"
inkscape:cx="148.86524"
inkscape:cy="61.570381"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid2985"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.5mm"
spacingy="0.5mm"
originx="-24.85889mm"
originy="-242.35889mm" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-88.082682,-107.30768)">
<text
sodipodi:linespacing="125%"
id="text13665"
y="144.27559"
x="229.91457"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan13669"
style="font-weight:bold;text-align:center;text-anchor:middle"
y="144.27559"
x="229.91457"
sodipodi:role="line">rtm_detector</tspan></text>
<rect
y="148.81888"
x="168.30708"
height="35.433067"
width="124.01575"
id="rect13663"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="170.07874"
y="161.22044"
id="text3426"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="170.07874"
y="161.22044"
style="font-weight:normal;text-align:start;text-anchor:start"
id="tspan3428">rtmm_i</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3430"
y="177.16533"
x="170.07874"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan3432"
style="font-weight:normal;text-align:start;text-anchor:start"
y="177.16533"
x="170.07874"
sodipodi:role="line">rtmp_i</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3434"
y="161.22044"
x="288.77954"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan3436"
style="font-weight:normal;text-align:end;text-anchor:end"
y="161.22044"
x="288.77954"
sodipodi:role="line">rtmm_ok_o</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="288.77954"
y="178.93698"
id="text3442"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="288.77954"
y="178.93698"
style="font-weight:normal;text-align:end;text-anchor:end"
id="tspan3444">rtmp_ok_o</tspan></text>
<path
inkscape:connector-curvature="0"
id="path5122"
d="m 122.24409,157.67714 -33.661408,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 168.30708,157.67714 -31.88976,0"
id="path5124"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 122.24409,175.39368 -33.661408,0"
id="path5132"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path5134"
d="m 168.30708,175.39368 -31.88976,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path5708"
d="m 292.32283,157.67714 35.43308,0 0,5.31496 7.08661,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path5710"
d="m 292.32283,175.39368 35.43308,0 0,-5.31496 7.08661,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path5725"
d="m 354.33071,166.53541 17.71653,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<text
sodipodi:linespacing="125%"
id="text5727"
y="170.07872"
x="373.81891"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="170.07872"
x="373.81891"
id="tspan5729"
sodipodi:role="line">set ERR LED</tspan></text>
<rect
y="139.9606"
x="115.15748"
height="53.149605"
width="26.574802"
id="rect5731"
style="opacity:0.98999999;fill:none;stroke:#b2b2b2;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:4, 4;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text5733"
y="113.3858"
x="128.70073"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1"
id="tspan5749"
y="113.3858"
x="128.70073"
sodipodi:role="line">inverting signals</tspan><tspan
style="font-size:8px;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1"
id="tspan5753"
y="123.3858"
x="128.70073"
sodipodi:role="line">from Schmitt</tspan><tspan
style="font-size:8px;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1"
id="tspan5755"
y="133.3858"
x="128.70073"
sodipodi:role="line">triggers on board</tspan></text>
<g
id="g9536">
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:3.49449205;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5130"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 a 7.0866141,7.0866141 0 1 1 -14.17323,0 7.0866141,7.0866141 0 1 1 14.17323,0 z"
transform="matrix(0.17274307,0,0,0.17274307,107.64948,155.19498)" />
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.60364932;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 121.51192,170.49702 0,12.24165 12.24164,-7.34499 -12.24164,-7.34499 z"
id="path5128"
inkscape:connector-curvature="0" />
</g>
<g
id="g9526"
transform="translate(0,-17.716534)">
<path
transform="matrix(0.17274307,0,0,0.17274307,107.64948,155.19498)"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
sodipodi:cx="159.44882"
id="path9528"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:3.49449205;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
inkscape:connector-curvature="0"
id="path9530"
d="m 121.51192,170.49702 0,12.24165 12.24164,-7.34499 -12.24164,-7.34499 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.60364932;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:3.49449205;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path9534"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 a 7.0866141,7.0866141 0 1 1 -14.17323,0 7.0866141,7.0866141 0 1 1 14.17323,0 z"
transform="matrix(0.17274307,0,0,0.17274307,307.84633,149.88002)" />
<path
transform="matrix(0.17274307,0,0,0.17274307,307.84633,142.79341)"
d="m 166.53544,116.92912 a 7.0866141,7.0866141 0 1 1 -14.17323,0 7.0866141,7.0866141 0 1 1 14.17323,0 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
sodipodi:cx="159.44882"
id="path9540"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:3.49449205;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path3936"
d="m 336.61417,157.67714 c 0,8.85826 0,8.85826 0,17.71653 12.04146,0 17.71654,-3.54329 17.71654,-8.85826 0,-5.31496 -5.67508,-8.85827 -17.71654,-8.85827 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="226.56073"
height="107"
id="svg4040"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="switches.svg">
<defs
id="defs4042">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3992"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker4023"
style="overflow:visible">
<path
id="path4025"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM-9"
style="overflow:visible">
<path
id="path4441"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM-6"
style="overflow:visible">
<path
id="path3992-3"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker5356"
style="overflow:visible">
<path
id="path5358"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3062"
style="overflow:visible">
<path
id="path3064"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3133"
style="overflow:visible">
<path
id="path3135"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM-7"
style="overflow:visible">
<path
id="path3992-6"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="3.959798"
inkscape:cx="168.42688"
inkscape:cy="0.18235611"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
showguides="false"
inkscape:guide-bbox="true">
<inkscape:grid
type="xygrid"
id="grid4556"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
originx="-123.43927px"
originy="-859px" />
</sodipodi:namedview>
<metadata
id="metadata4045">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-123.43927,-86.362183)">
<rect
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect4288"
width="2.9879105"
height="7.4697757"
x="177.133"
y="156.15752" />
<path
inkscape:connector-curvature="0"
id="path4290"
d="m 178.63401,156.11199 0,-6.67727"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" />
<path
inkscape:connector-curvature="0"
id="path5106"
d="m 178.62573,171.09707 0,-7.4494 0.001,7.4494"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path5108"
d="m 175.63903,171.84405 2.98792,3.73489 0,3.73489"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 177.13299,179.31383 2.98791,0"
id="path5110"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path5112"
d="m 178.62695,167.36218 16.37305,0"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="cc" />
<path
transform="matrix(0.42162733,0,0,0.42162733,132.25501,174.41032)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path5114"
style="opacity:0.3;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<text
xml:space="preserve"
style="font-size:6.19140959px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="149"
y="156.36218"
id="text5024"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="149"
y="156.36218"
id="tspan5026"
style="font-style:normal;text-align:center;text-anchor:middle">General-purpose</tspan><tspan
id="tspan5028"
sodipodi:role="line"
x="149"
y="164.10144"
style="font-style:normal;text-align:center;text-anchor:middle">switches</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 215,167.36218 35,0"
id="path4051"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;marker-start:none"
d="m 195,87.362183 0,104.999997"
id="path4728"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="200"
y="102.36218"
id="text4947"
sodipodi:linespacing="125%"><tspan
id="tspan4949"
sodipodi:role="line"
x="200"
y="102.36218"
style="font-size:14px;font-weight:bold;text-align:start;text-anchor:start">FPGA</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4951"
y="102.36218"
x="190"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:14px;font-weight:bold;text-align:end;text-anchor:end;opacity:0.3"
y="102.36218"
x="190"
sodipodi:role="line"
id="tspan4953">Board</tspan></text>
<rect
y="87.362183"
x="270"
height="105"
width="80"
id="rect4950"
style="opacity:0.3;fill:#e5e5e5;fill-opacity:1;stroke-width:0.56;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0" />
<path
inkscape:connector-curvature="0"
id="path4952"
d="m 270,87.362183 0,104.999997"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text4973"
y="102.36218"
x="275"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="102.36218"
x="275"
id="tspan4975"
sodipodi:role="line"
style="font-weight:bold">Conv.</tspan><tspan
y="114.86218"
x="275"
sodipodi:role="line"
id="tspan4977"
style="font-weight:bold">common</tspan><tspan
y="127.36218"
x="275"
sodipodi:role="line"
id="tspan4979"
style="font-weight:bold">gateware</tspan></text>
<g
id="g3909">
<rect
y="162.36218"
x="273"
height="13"
width="72"
id="rect3897"
style="fill:#ffffff;fill-opacity:1;stroke:#b2b2b2;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="274.93604"
y="172.50232"
id="text3893"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3895"
x="274.93604"
y="172.50232">SR.SWITCHES</tspan></text>
</g>
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3133)"
d="m 255,167.36218 10,0"
id="path3918"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<rect
y="152.36218"
x="215"
height="30"
width="35.000076"
id="rect3779"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:2.15"
rx="5"
ry="5" />
<g
transform="matrix(0.61914096,0,0,0.61914096,137.89186,92.772793)"
id="g3783"
style="fill:#ffffff;fill-opacity:1">
<path
inkscape:connector-curvature="0"
id="path3785"
d="m 141.73228,113.3858 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0.24999996,0,0,0.24999996,121.67006,91.240144)"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
sodipodi:cx="159.44882"
id="path3787"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4570"
d="m 200,167.36218 10,0"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3133)" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5521"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,169.78516,52.804161)" />
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="302.68109"
height="130.83073"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="timetag-arch.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="TriangleInM"
style="overflow:visible">
<path
id="path4202"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutL"
orient="auto"
refY="0"
refX="0"
id="TriangleOutL"
style="overflow:visible">
<path
id="path4208"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.8,0.8)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path4211"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2"
inkscape:cx="25.670243"
inkscape:cy="-97.576783"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
showguides="true"
inkscape:guide-bbox="true"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid3004"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.25mm"
spacingy="0.25mm"
originx="-67.217779mm"
originy="-199.60888mm" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-238.17323,-214.25582)">
<path
style="fill:none;stroke:#cccccc;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:8, 4;stroke-dashoffset:13"
d="m 239.17323,310.92512 265.74803,1.77165 0,-97.44095"
id="path4226"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 270.17716,244.48812 76.1811,0"
id="path8077"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<rect
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect6758"
width="62.007874"
height="66.437027"
x="349.90155"
y="232.97237" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="270.17715"
y="250.6889"
id="text4797"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4799"
x="270.17715"
y="250.6889"
style="font-size:6px;text-align:start;text-anchor:start">White Rabbit time</tspan></text>
<text
sodipodi:linespacing="125%"
id="text5171"
y="259.54715"
x="270.17715"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:start;text-anchor:start"
y="259.54715"
x="270.17715"
id="tspan5173"
sodipodi:role="line">White Rabbit time valid</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="416.33856"
y="241.83063"
id="text5992"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5994"
x="416.33856"
y="241.83063"
style="font-size:6px;text-align:start;text-anchor:start">Trigger channel</tspan></text>
<text
sodipodi:linespacing="125%"
id="text6033"
y="250.6889"
x="416.33856"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"
inkscape:transform-center-x="10.430045"
inkscape:transform-center-y="5.4426047"><tspan
style="font-size:6px;text-align:start;text-anchor:start"
y="250.6889"
x="416.33856"
id="tspan6035"
sodipodi:role="line">TAI time</tspan></text>
<g
id="g6292"
transform="translate(-68.888121,-63.644077)">
<g
id="g6739"
transform="translate(-19.931093,21.508793)">
<text
sodipodi:linespacing="125%"
id="text6284"
y="303.58963"
x="470.12509"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
y="303.58963"
x="470.12509"
sodipodi:role="line"
id="tspan6746">Timetag</tspan><tspan
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
y="316.08963"
x="470.12509"
sodipodi:role="line"
id="tspan6750">controller</tspan></text>
</g>
</g>
<text
inkscape:transform-center-y="5.4426046"
inkscape:transform-center-x="10.430045"
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="416.33856"
y="259.54715"
id="text7512"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7514"
x="416.33856"
y="259.54715"
style="font-size:6px;text-align:start;text-anchor:start">8ns cycles</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="363.18896"
y="360.63318"
id="text7981"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan7983"
x="363.18896"
y="360.63318"
style="font-size:10px;text-align:center;text-anchor:middle" /></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="113.76843"
y="117.42808"
id="text8001"
sodipodi:linespacing="125%"
transform="translate(220.57693,239.53561)"><tspan
sodipodi:role="line"
id="tspan8003"
x="113.76843"
y="117.42808" /></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#TriangleInM)"
d="m 346.35825,286.12197 -23.03149,0 0,49.6063 44.29135,0"
id="path8057"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="279.03543"
y="283.46451"
id="text8079"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="279.03543"
y="283.46451"
style="font-size:6px;text-align:start;text-anchor:start"
id="tspan4898">TAI time from register</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4207"
y="241.83066"
x="270.17715"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:start;text-anchor:start"
y="241.83066"
x="270.17715"
sodipodi:role="line"
id="tspan4211">Pulse inputs</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 270.17716,253.34638 76.1811,0"
id="path4215"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
inkscape:connector-curvature="0"
id="path4217"
d="m 270.17716,262.20464 76.1811,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#TriangleOutM)"
d="m 411.90943,244.48811 80.61024,0"
id="path4223"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 215.56687,13.810763 80.61024,0"
id="path5155"
inkscape:connector-curvature="0"
transform="translate(196.34256,239.53561)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 411.90943,262.20464 80.61024,0"
id="path5157"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text5159"
y="268.40543"
x="416.33856"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:start;text-anchor:start"
y="268.40543"
x="416.33856"
id="tspan5161"
sodipodi:role="line">White Rabbit time valid</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 215.56687,31.527298 80.61024,0"
id="path5163"
inkscape:connector-curvature="0"
transform="translate(196.34256,239.53561)"
sodipodi:nodetypes="cc" />
<g
id="g3857"
transform="translate(10.62365,49.6063)">
<rect
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect5578"
width="10.623631"
height="9.7082977"
x="463.29367"
y="281.69284" />
<text
sodipodi:linespacing="125%"
id="text5574"
y="288.73108"
x="468.49564"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan3212"
style="font-size:6px;text-align:center;text-anchor:middle"
y="288.73108"
x="468.49564"
sodipodi:role="line">SR</tspan></text>
</g>
<rect
y="326.87"
x="367.6181"
height="17.716564"
width="26.57486"
id="rect4408"
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text4431"
y="333.9566"
x="381.07394"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:center;text-anchor:middle"
y="333.9566"
x="381.07394"
sodipodi:role="line"
id="tspan4825">TVLR</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 451.77165,271.06292 0,64.66535 18.60236,0"
id="path4445"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 412.00671,286.12197 26.47753,0 0,49.6063 -40.74802,0"
id="path4633"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<text
inkscape:transform-center-y="5.4426047"
inkscape:transform-center-x="10.430045"
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="416.33856"
y="284.35031"
id="text4821"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4823"
x="416.33856"
y="284.35031"
style="font-size:6px;text-align:start;text-anchor:start">TAI time</tspan></text>
<path
inkscape:connector-curvature="0"
id="path4890"
d="m 367.61811,335.72829 26.5748,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="381.07394"
y="342.81488"
id="text4894"
sodipodi:linespacing="125%"><tspan
id="tspan4896"
sodipodi:role="line"
x="381.07394"
y="342.81488"
style="font-size:6px;text-align:center;text-anchor:middle">TVHR</tspan></text>
<g
id="g3876"
transform="translate(0,0.88579413)">
<rect
transform="matrix(0,-1,1,0,0,0)"
y="443.79922"
x="-314.46844"
height="15.059055"
width="6.2007871"
id="rect5604"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
xml:space="preserve"
style="font-size:20.85194778px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="446.06168"
y="312.17776"
id="text5606"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5608"
x="446.06168"
y="312.17776"
style="font-size:4.5999999px">sync</tspan></text>
</g>
<text
sodipodi:linespacing="125%"
id="text4240"
y="329.52747"
x="314.46851"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4242"
style="font-size:16px;font-weight:bold;text-align:end;text-anchor:end;fill:#cccccc;fill-opacity:1"
y="329.52747"
x="314.46851"
sodipodi:role="line">20 MHz</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="314.46851"
y="307.38181"
id="text4244"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="314.46851"
y="307.38181"
style="font-size:16px;font-weight:bold;text-align:end;text-anchor:end;fill:#cccccc;fill-opacity:1"
id="tspan4246">125 MHz</tspan></text>
<rect
y="232.97237"
x="496.06299"
height="66.437027"
width="44.29134"
id="rect3074"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<g
transform="translate(76.872936,-61.429516)"
id="g3076">
<g
transform="translate(-19.931093,21.508793)"
id="g3078">
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="460.86642"
y="303.58963"
id="text3080"
sodipodi:linespacing="125%"><tspan
id="tspan3084"
sodipodi:role="line"
x="460.86642"
y="303.58963"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle">Ring</tspan><tspan
sodipodi:role="line"
x="460.86642"
y="316.08963"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan3088">buffer</tspan></text>
</g>
</g>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="515.67535"
height="342.0433"
id="svg3221"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="timetag-core.svg">
<defs
id="defs3223">
<marker
inkscape:stockid="TriangleOutS"
orient="auto"
refY="0"
refX="0"
id="TriangleOutS"
style="overflow:visible">
<path
id="path4942"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.2,0.2)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="TriangleInM"
style="overflow:visible">
<path
id="path4712"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path4721"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8"
inkscape:cx="341.71695"
inkscape:cy="92.945084"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
showguides="true"
inkscape:guide-bbox="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid3229"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.25mm"
spacingy="0.25mm"
originx="-43.042525mm"
originy="-193.60889mm" />
</sodipodi:namedview>
<metadata
id="metadata3226">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-152.51288,-24.303135)">
<rect
style="fill:none;stroke:#808080;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 3;stroke-dashoffset:0.8"
id="rect3160"
width="57.578747"
height="48.72047"
x="148.66821"
y="248.53149"
transform="translate(152.51288,24.303127)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#TriangleOutM)"
d="m 132.72334,199.84631 20.37401,0 0,-13.32269 84.15355,0"
id="path3208"
inkscape:connector-curvature="0"
transform="translate(152.51288,24.303127)"
sodipodi:nodetypes="cccc" />
<path
inkscape:connector-curvature="0"
id="path4540"
d="m 286.12204,286.12203 264.86221,-0.0445"
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleOutM);marker-end:url(#TriangleOutS)"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 456.20078,330.41336 94.78347,10e-6"
id="path4534"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 290.4005,302.1811 -16.83071,0 0,4.42914 0,4.42913 12.40158,0"
id="path7149"
inkscape:connector-curvature="0"
transform="translate(152.51288,23.803127)"
sodipodi:nodetypes="ccccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 273.56979,306.61024 16.83071,0"
id="path7153"
inkscape:connector-curvature="0"
transform="translate(152.51288,23.803127)"
sodipodi:nodetypes="cc" />
<rect
style="fill:#cccccc;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3231"
width="53.149609"
height="70.866142"
x="314.46848"
y="104.52753" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 314.46848,155.90549 5.31496,5.31496 -5.31496,5.31496"
id="path4007"
inkscape:connector-curvature="0" />
<text
sodipodi:linespacing="125%"
id="text4013"
y="154.13383"
x="344.58658"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
y="154.13383"
x="344.58658"
id="tspan4015"
sodipodi:role="line">125M</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="364.0748"
y="118.70077"
id="text4021"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4023"
x="364.0748"
y="118.70077"
style="font-size:10px;text-align:end;text-anchor:end">VAL</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4031"
y="134.64565"
x="364.0748"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;text-align:end;text-anchor:end"
y="134.64565"
x="364.0748"
id="tspan4033"
sodipodi:role="line">EQ</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="341.0433"
y="86.811005"
id="text4035"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4037"
x="341.0433"
y="86.811005"
style="font-size:12px;font-weight:normal;text-align:center;line-height:125%;text-anchor:middle">Cycles</tspan><tspan
sodipodi:role="line"
x="341.0433"
y="101.811"
style="font-size:12px;font-weight:normal;text-align:center;line-height:125%;text-anchor:middle"
id="tspan4039">counter</tspan></text>
<rect
y="171.85036"
x="389.76379"
height="70.866142"
width="53.149609"
id="rect4041"
style="fill:#cccccc;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text4043"
y="186.02361"
x="393.3071"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="186.02361"
x="393.3071"
id="tspan4045"
sodipodi:role="line">EN</tspan></text>
<path
inkscape:connector-curvature="0"
id="path4049"
d="m 389.76377,223.22832 5.31496,5.31496 -5.31496,5.31496"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<text
sodipodi:linespacing="125%"
id="text4059"
y="186.02359"
x="439.37012"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;text-align:end;text-anchor:end"
y="186.02359"
x="439.37012"
id="tspan4061"
sodipodi:role="line">VAL</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4067"
y="154.13383"
x="416.33862"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4071"
style="font-size:12px;font-weight:normal;text-align:center;line-height:125%;text-anchor:middle"
y="154.13383"
x="416.33862"
sodipodi:role="line">TAI</tspan><tspan
style="font-size:12px;font-weight:normal;text-align:center;line-height:125%;text-anchor:middle"
y="169.13383"
x="416.33862"
sodipodi:role="line"
id="tspan4075">counter</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 367.61811,131.10234 8.85826,0 0,51.37795 13.28741,0"
id="path4077"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<text
sodipodi:linespacing="125%"
id="text4106"
y="347.24411"
x="260.43307"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4110"
style="font-size:12px;font-weight:normal;text-align:end;line-height:125%;text-anchor:end"
y="347.24411"
x="260.43307"
sodipodi:role="line">clk125</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:4;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 367.61811,115.15746 119.58661,0"
id="path4118"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
id="g4134">
<path
sodipodi:nodetypes="ccccc"
inkscape:connector-curvature="0"
id="path4120"
d="m 487.20472,69.094466 0,62.007874 17.71654,-8.85827 0,-44.291336 z"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="496.06299"
y="118.70077"
id="text4122"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4124"
x="496.06299"
y="118.70077"
style="font-size:10px;text-align:center;text-anchor:middle">0</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4130"
y="90.354309"
x="496.06299"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;text-align:center;text-anchor:middle"
y="90.354309"
x="496.06299"
id="tspan4132"
sodipodi:role="line">1</tspan></text>
</g>
<g
id="g4153"
transform="translate(0,95.669291)">
<path
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 487.20472,69.094466 0,62.007874 17.71654,-8.85827 0,-44.291336 z"
id="path4143"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccccc" />
<text
sodipodi:linespacing="125%"
id="text4145"
y="118.70077"
x="496.06299"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;text-align:center;text-anchor:middle"
y="118.70077"
x="496.06299"
id="tspan4147"
sodipodi:role="line">1</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="496.06299"
y="90.354309"
id="text4149"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4151"
x="496.06299"
y="90.354309"
style="font-size:10px;text-align:center;text-anchor:middle">0</tspan></text>
</g>
<path
inkscape:connector-curvature="0"
id="path4160"
d="m 442.91339,182.48029 44.29133,0"
style="fill:none;stroke:#000000;stroke-width:4;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#TriangleInM)"
d="m 496.06299,73.5236 0,-31.003937 -210.82677,0"
id="path4175"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<text
sodipodi:linespacing="125%"
id="text4177"
y="46.062969"
x="274.60629"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4179"
style="font-size:12px;font-weight:normal;text-align:end;line-height:125%;text-anchor:end"
y="46.062969"
x="274.60629"
sodipodi:role="line">wr_tm_valid_i</tspan></text>
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path4181"
sodipodi:cx="257.37601"
sodipodi:cy="49.857399"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 259.14766,49.857399 c 0,0.978457 -0.7932,1.771654 -1.77165,1.771654 -0.97846,0 -1.77166,-0.793197 -1.77166,-1.771654 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97845,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(238.68699,-7.3377356)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 496.06298,42.519663 17.71654,0 10e-6,110.728347 -17.71654,0 0,15.94488"
id="path4201"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccccc" />
<path
style="fill:none;stroke:#000000;stroke-width:4;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleOutS)"
d="m 286.12204,69.094466 174.50788,0 0,17.716536 26.5748,0"
id="path4203"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<text
sodipodi:linespacing="125%"
id="text4205"
y="72.637772"
x="278.1496"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4207"
style="font-size:12px;font-weight:normal;text-align:end;line-height:125%;text-anchor:end"
y="72.637772"
x="278.1496"
sodipodi:role="line">wr_tm_cycles_i(27:0)</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:4;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutS)"
d="m 504.92126,100.0984 46.94881,0"
id="path4209"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="559.84253"
y="102.75588"
id="text4391"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="559.84253"
y="102.75588"
style="font-size:12px;font-weight:normal;text-align:start;line-height:125%;text-anchor:start"
id="tspan4393">tm_cycles_o(27:0)</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4397"
y="198.42517"
x="559.84253"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4399"
style="font-size:12px;font-weight:normal;text-align:start;line-height:125%;text-anchor:start"
y="198.42517"
x="559.84253"
sodipodi:role="line">tm_tai_o(39:0)</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="278.1496"
y="258.66141"
id="text4401"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="278.1496"
y="258.66141"
style="font-size:12px;font-weight:normal;text-align:end;line-height:125%;text-anchor:end"
id="tspan4403">wr_tm_tai_i(39:0)</tspan></text>
<path
inkscape:connector-curvature="0"
id="path4405"
d="m 286.12204,255.11809 192.22442,0 0,-44.29134 8.85826,0"
style="fill:none;stroke:#000000;stroke-width:4;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleOutS)"
sodipodi:nodetypes="cccc" />
<text
sodipodi:linespacing="125%"
id="text4407"
y="289.66534"
x="278.1496"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4409"
style="font-size:12px;font-weight:normal;text-align:end;line-height:125%;text-anchor:end"
y="289.66534"
x="278.1496"
sodipodi:role="line">pulse_a_i(5:0)</tspan></text>
<g
id="g6589"
transform="translate(22.145663,-23.885827)">
<rect
y="290.15363"
x="355.55331"
height="39.708443"
width="29.781334"
id="rect4441"
style="fill:#cccccc;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<g
style="stroke-width:1.17734087;stroke-miterlimit:4;stroke-dasharray:none"
transform="matrix(0.84937173,0,0,0.84937173,174.82096,27.455344)"
id="g6017">
<path
style="fill:none;stroke:#000000;stroke-width:1.17734087;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 225,342.40468 -5.31496,0 10.62992,0 0,-19.48819 10.62992,0"
id="path6651"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1.17734087;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 226.77165,333.07084 3.54331,-3.5433 3.54331,3.5433"
id="path6653"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
</g>
<path
inkscape:connector-curvature="0"
id="path4446"
d="m 355.55331,319.93495 2.97813,2.97813 -2.97813,2.97813"
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="559.84253"
y="334.8425"
id="text4536"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="559.84253"
y="334.8425"
style="font-size:12px;font-weight:normal;text-align:start;line-height:125%;text-anchor:start"
id="tspan4538">buf_wr_req_p_o</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4542"
y="288.73499"
x="559.84253"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4544"
style="font-size:12px;font-weight:normal;text-align:start;line-height:125%;text-anchor:start"
y="288.73499"
x="559.84253"
sodipodi:role="line">chan_o(5:0)</tspan></text>
<text
sodipodi:linespacing="125%"
id="text5388"
y="200.19682"
x="278.1496"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan5390"
style="font-size:12px;font-weight:normal;text-align:end;line-height:125%;text-anchor:end"
y="200.19682"
x="278.1496"
sodipodi:role="line">wb_tm_tai_i(39:0)</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:4;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleOutS)"
d="m 286.12204,196.65352 103.64174,0"
id="path5392"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="393.3071"
y="200.19682"
id="text5394"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5396"
x="393.3071"
y="200.19682"
style="font-size:10px">LDVAL</tspan></text>
<path
transform="translate(256.40352,-7.3377356)"
d="m 259.14766,49.857399 c 0,0.978457 -0.7932,1.771654 -1.77165,1.771654 -0.97846,0 -1.77166,-0.793197 -1.77166,-1.771654 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97845,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="49.857399"
sodipodi:cx="257.37601"
id="path4414"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 361.26664,18.716536 37.20473,0"
id="path4416"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc"
transform="translate(152.51288,23.803127)" />
<text
sodipodi:linespacing="125%"
id="text4602"
y="46.062969"
x="559.84253"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4604"
style="font-size:12px;font-weight:normal;text-align:start;line-height:125%;text-anchor:start"
y="46.062969"
x="559.84253"
sodipodi:role="line">tm_wrpres_o</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path5428"
d="m 504.92126,194.88187 46.94881,0"
style="fill:none;stroke:#000000;stroke-width:4;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutS)" />
<rect
style="fill:none;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect4560"
width="265.30508"
height="341.0433"
x="283.9075"
y="24.803135" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 283.90748,334.84251 14.17323,8.85827 -14.17323,8.85826"
id="path5430"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 262.20472,343.70077 21.25984,0"
id="path5432"
inkscape:connector-curvature="0" />
<g
id="g3104"
transform="translate(1.1534325e-8,-0.88583063)">
<g
transform="translate(34.026755,10.995966)"
id="g6613">
<rect
y="266.26779"
x="439.89056"
height="35.433071"
width="26.574802"
id="rect6598"
style="fill:#cccccc;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path6606"
d="m 439.89057,292.52195 2.97813,2.97813 -2.97813,2.97813"
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
<text
sodipodi:linespacing="125%"
id="text6617"
y="290.55115"
x="475.68896"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="290.55115"
x="475.68896"
id="tspan6619"
sodipodi:role="line">D</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="498.72046"
y="290.55115"
id="text6621"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan6623"
x="498.72046"
y="290.55115"
style="font-size:10px;text-align:end;text-anchor:end">Q</tspan></text>
</g>
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path6687"
sodipodi:cx="257.37601"
sodipodi:cy="49.857399"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 259.14766,49.857399 c 0,0.978457 -0.7932,1.771654 -1.77165,1.771654 -0.97846,0 -1.77166,-0.793197 -1.77166,-1.771654 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97845,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(163.39171,236.26463)" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path6430"
d="m 436.21258,323.75063 c 3.99764,5.33017 3.99764,7.99527 0,13.32546 9.05697,0 15.99056,0 19.9882,-6.66273 -3.99764,-6.66273 -10.93123,-6.66273 -19.9882,-6.66273 z"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 268.25483,262.3189 0,44.29134 5.31496,0"
id="path7145"
inkscape:connector-curvature="0"
transform="translate(152.51288,23.803127)" />
<g
id="g3113"
transform="translate(1.1534269e-8,43.405508)">
<g
id="g3115"
transform="translate(34.026755,10.995966)">
<rect
style="fill:#cccccc;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3117"
width="26.574802"
height="35.433071"
x="439.89056"
y="266.26779" />
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 439.89057,292.52195 2.97813,2.97813 -2.97813,2.97813"
id="path3119"
inkscape:connector-curvature="0" />
</g>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="475.68896"
y="290.55115"
id="text3121"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3123"
x="475.68896"
y="290.55115"
style="font-size:10px">D</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3125"
y="290.55115"
x="498.72046"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;text-align:end;text-anchor:end"
y="290.55115"
x="498.72046"
id="tspan3127"
sodipodi:role="line">Q</tspan></text>
</g>
<text
sodipodi:linespacing="125%"
id="text3188"
y="215.25587"
x="393.3071"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="215.25587"
x="393.3071"
id="tspan3190"
sodipodi:role="line">LD</tspan></text>
<g
id="g3192"
transform="translate(-175.3937,-59.460875)">
<g
id="g3194">
<g
id="g3196">
<rect
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect3198"
width="10.629922"
height="28.346468"
x="465.05905"
y="269.40179" />
<text
transform="matrix(0,1,-1,0,0,0)"
sodipodi:linespacing="125%"
id="text3200"
y="-468.96582"
x="274.41489"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:8px"
y="-468.96582"
x="274.41489"
id="tspan3202"
sodipodi:role="line">sync</tspan></text>
</g>
</g>
</g>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="278.1496"
y="228.54327"
id="text3204"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="278.1496"
y="228.54327"
style="font-size:12px;font-weight:normal;text-align:end;line-height:125%;text-anchor:end"
id="tspan3206">wb_tm_tai_ld_i</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="316.24014"
y="134.64565"
id="text3210"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3212"
x="316.24014"
y="134.64565"
style="font-size:10px;text-align:start;text-anchor:start">RST</tspan></text>
<path
transform="translate(48.234225,160.96935)"
d="m 259.14766,49.857399 c 0,0.978457 -0.7932,1.771654 -1.77165,1.771654 -0.97846,0 -1.77166,-0.793197 -1.77166,-1.771654 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97845,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="49.857399"
sodipodi:cx="257.37601"
id="path3214"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 153.09735,186.52362 0,-79.72441 8.85827,0"
id="path3216"
inkscape:connector-curvature="0"
transform="translate(152.51288,24.303127)" />
<g
id="g3128"
transform="matrix(0.66666674,0,0,0.66666674,19.783429,95.078709)">
<g
id="g3130"
transform="translate(34.026755,10.995966)">
<rect
style="fill:#cccccc;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3132"
width="26.574802"
height="35.433071"
x="439.89056"
y="266.26779" />
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 439.89057,292.52195 2.97813,2.97813 -2.97813,2.97813"
id="path3134"
inkscape:connector-curvature="0" />
</g>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="475.68896"
y="290.55115"
id="text3136"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3138"
x="475.68896"
y="290.55115"
style="font-size:10px">D</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3140"
y="290.55115"
x="498.72046"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;text-align:end;text-anchor:end"
y="290.55115"
x="498.72046"
id="tspan3142"
sodipodi:role="line">Q</tspan></text>
</g>
<g
transform="matrix(0.66666674,0,0,0.66666674,-8.5630282,95.078709)"
id="g3144">
<g
transform="translate(34.026755,10.995966)"
id="g3146">
<rect
y="266.26779"
x="439.89056"
height="35.433071"
width="26.574802"
id="rect3148"
style="fill:#cccccc;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path3150"
d="m 439.89057,292.52195 2.97813,2.97813 -2.97813,2.97813"
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
<text
sodipodi:linespacing="125%"
id="text3152"
y="290.55115"
x="475.68896"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="290.55115"
x="475.68896"
id="tspan3154"
sodipodi:role="line">D</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="498.72046"
y="290.55115"
id="text3156"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3158"
x="498.72046"
y="290.55115"
style="font-size:10px;text-align:end;text-anchor:end">Q</tspan></text>
</g>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#808080;fill-opacity:1;stroke:none;font-family:Sans"
x="330.29565"
y="318.01178"
id="text3948"
sodipodi:linespacing="125%"><tspan
id="tspan3952"
sodipodi:role="line"
x="330.29565"
y="318.01178"
style="font-size:12px;font-weight:normal;text-align:center;line-height:125%;text-anchor:middle;fill:#808080;fill-opacity:1">sync.</tspan></text>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="333.87131"
height="192"
id="svg4040"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="ttl-inp.svg">
<defs
id="defs4042">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3992"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker4023"
style="overflow:visible">
<path
id="path4025"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM-9"
style="overflow:visible">
<path
id="path4441"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="3.959798"
inkscape:cx="148.8347"
inkscape:cy="24.617545"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid4556"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
originx="57.818153px"
originy="-829px" />
</sodipodi:namedview>
<metadata
id="metadata4045">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(57.818153,-31.362183)">
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 80,77.362183 108,0 0,10"
id="path5040"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<rect
y="87.362183"
x="85"
height="75"
width="150"
id="rect3779"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:0"
rx="5"
ry="5" />
<text
sodipodi:linespacing="125%"
id="text3769"
y="96.362183"
x="110"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:center;text-anchor:middle"
y="96.362183"
x="110"
sodipodi:role="line"
id="tspan4961"><tspan
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan4965">6x</tspan> input logic</tspan></text>
<path
style="opacity:0.3;fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 250,167.36218 c 5.16063,6.88084 5.16063,10.32125 0,17.20209 12.04146,0 20.64251,0 25.80315,-8.60104 -5.16064,-8.60105 -13.76169,-8.60105 -25.80315,-8.60105 z"
id="path3936"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 235,132.36218 5.80315,0 0,38.60105 5,0"
id="path5544"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 20,202.36218 220.80315,0 0,-21.39895 5,0"
id="path5546"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<rect
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.30957046;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect2987"
width="4.3876133"
height="10.969033"
x="1.5074749"
y="134.68382" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 25.639338,123.71483 -38.391608,-3e-5"
id="path3767"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 3.701275,123.7148 0,10.96902"
id="path3769"
inkscape:connector-curvature="0" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 3.701275,145.65287 0,8.77521"
id="path3771"
inkscape:connector-curvature="0" />
<g
transform="matrix(0.61914096,0,0,0.61914096,13.573413,49.125415)"
id="g3783">
<path
inkscape:connector-curvature="0"
id="path3785"
d="m 141.73228,113.3858 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0.24999996,0,0,0.24999996,121.67006,91.240144)"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
sodipodi:cx="159.44882"
id="path3787"
style="fill:none;stroke:#000000;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.30957049;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 184.04296,118.23031 0,28.51949 8.77523,-4.38762 0,-19.74425 -8.77523,-4.38762"
id="path3795"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:24.76563835px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="186.23677"
y="142.36218"
id="text3890"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3892"
x="186.23677"
y="142.36218"
style="font-size:6.19140959px">0</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3894"
y="127.00555"
x="186.23677"
style="font-size:24.76563835px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6.19140959px"
y="127.00555"
x="186.23677"
id="tspan3896"
sodipodi:role="line">1</tspan></text>
<rect
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect4288"
width="2.9879105"
height="7.4697757"
x="62.132988"
y="66.157524" />
<path
inkscape:connector-curvature="0"
id="path4290"
d="m 63.634008,66.111986 0,-6.677264"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" />
<path
inkscape:connector-curvature="0"
id="path5106"
d="m 63.625728,81.097071 0,-7.449403 0.0013,7.449403"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path5108"
d="m 60.639029,81.844048 2.987913,3.734888 0,3.734888"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 62.132985,89.313824 2.987909,0"
id="path5110"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path5112"
d="m 63.626942,77.362183 16.373058,0"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="cc" />
<path
transform="matrix(0.42162733,0,0,0.42162733,17.255002,84.410317)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path5114"
style="opacity:0.3;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
inkscape:connector-curvature="0"
id="path3914"
d="m 35,112.36218 10,0"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
sodipodi:nodetypes="cc" />
<path
inkscape:connector-curvature="0"
id="path3916"
d="m 50,117.33122 5,0 0,-4.96904 5.484521,0 0,4.96904 9.515479,0"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cccccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 80,123.71481 21.32567,0"
id="path4051"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 91.453551,123.71481 0,17.55046 92.546449,2e-5"
id="path5191"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 114.4885,123.71481 69.5115,2e-5"
id="path4053"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 38.80218,123.71481 41.19782,0"
id="path4057"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:6.19140959px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
x="-20"
y="97.362183"
id="text4203"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="-20"
y="97.362183"
style="font-style:normal;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1"
id="tspan5221">No sig.</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4209"
y="97.362183"
x="5.8950787"
style="font-size:6.19140959px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
y="97.362183"
x="5.8950791"
sodipodi:role="line"
id="tspan4217">Signal</tspan></text>
<path
transform="matrix(0.61914096,0,0,0.61914096,23.35841,134.06468)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path5193"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 1.507473,154.42808 4.387618,0"
id="path3773"
inkscape:connector-curvature="0" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.30957049;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 25.63934,119.32726 0,10.96899 10.96899,-6.58139 -10.96899,-6.58139 z"
id="path3757"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:2.00000858;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3759"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
transform="matrix(0.15478457,0,0,0.15478457,13.21805,105.61604)" />
<g
id="g3611"
transform="matrix(0.21125073,0,0,0.21125073,24.424795,78.429017)"
style="opacity:0.3;stroke:#000000;stroke-width:1.46541727;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none">
<path
transform="translate(-15.675442,33.433089)"
inkscape:connector-curvature="0"
id="path3607"
d="m 29.848669,186.25198 10.629922,0 3.543307,-10.62992 7.086614,0"
style="fill:none;stroke:#000000;stroke-width:1.46541727;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:1.46541727;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 35.43307,209.05515 -10.629922,0 -3.543307,10.62992 -7.086614,0"
id="path3609"
inkscape:connector-curvature="0" />
</g>
<path
sodipodi:type="arc"
style="opacity:0.3;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path3126"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="matrix(0.61914096,0,0,0.61914096,-64.393851,134.06468)" />
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;marker-start:none"
d="M 80,32.362183 80,222.36218"
id="path4728"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
transform="matrix(2,0,0,2,54.78516,8.8041611)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path5617"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="85"
y="47.362183"
id="text4947"
sodipodi:linespacing="125%"><tspan
id="tspan4949"
sodipodi:role="line"
x="85"
y="47.362183"
style="font-size:14px;font-weight:bold;text-align:start;text-anchor:start">FPGA</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4951"
y="47.362183"
x="75"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:14px;font-weight:bold;text-align:end;text-anchor:end;opacity:0.3"
y="47.362183"
x="75"
sodipodi:role="line"
id="tspan4953">Board</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 192.94579,132.36575 42.05421,0"
id="path4955"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5020"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,54.78516,-37.195839)" />
<text
xml:space="preserve"
style="font-size:6.19140959px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="50"
y="79.362183"
id="text5024"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="50"
y="79.362183"
id="tspan5026"
style="font-style:normal;text-align:center;text-anchor:middle">TTL</tspan><tspan
id="tspan5028"
sodipodi:role="line"
x="50"
y="87.101448"
style="font-style:normal;text-align:center;text-anchor:middle">switch</tspan></text>
<g
id="g5030"
transform="matrix(0.61914096,0,0,0.61914096,32.24774,2.7727728)"
style="fill:#ffffff;fill-opacity:1;stroke:#b2b2b2;stroke-opacity:1">
<path
style="fill:#ffffff;fill-opacity:1;stroke:#b2b2b2;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 141.73228,113.3858 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
id="path5032"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#b2b2b2;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5034"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
transform="matrix(0.24999996,0,0,0.24999996,121.67006,91.240144)" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 188,87.362183 0,32.999997"
id="path5038"
inkscape:connector-curvature="0" />
<g
id="g5291"
transform="matrix(0.51698617,0,0,0.51698617,-5.0338357,71.117635)">
<g
id="g5201"
transform="translate(0,3.8685751)">
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4171"
width="48.357166"
height="38.685757"
x="309.55148"
y="114.596" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="334.74847"
y="130.44977"
id="text4181"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="334.74847"
y="130.44977"
style="font-size:11.60572624px;text-align:center;text-anchor:middle"
id="tspan4201">No sig.</tspan><tspan
id="tspan5930"
sodipodi:role="line"
x="334.74847"
y="144.95692"
style="font-size:11.60572624px;text-align:center;text-anchor:middle">detect</tspan></text>
</g>
</g>
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 35,102.36218 10,0"
id="path5207"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cccccc"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 50,102.36218 5,0 0,4.96904 5.484521,0 0,-4.96904 9.515479,0"
id="path5209"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path5211"
d="m -20,107.33122 10,0"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
sodipodi:nodetypes="cc" />
<path
inkscape:connector-curvature="0"
id="path5213"
d="m -5,107.33122 5,0 0,-4.96904 5.484521,0 0,4.96904 9.515479,0"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cccccc" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m -20,117.36218 10,0"
id="path5215"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cccccc"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m -5,112.39314 5,0 0,4.96904 5.484521,0 0,-4.96904 9.515479,0"
id="path5217"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path5227"
d="m 115,117.36218 10,0"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
sodipodi:nodetypes="cc" />
<path
inkscape:connector-curvature="0"
id="path5229"
d="m 130,117.39314 5,0 0,-4.96904 5.48452,0 0,4.96904 9.51548,0"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cccccc" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 115,132.36218 10,0"
id="path5237"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cccccc"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 130,137.36218 5,0 0,-4.96904 5.48452,0 0,4.96904 9.51548,0"
id="path5239"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 195,127.36218 10,0"
id="path5241"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cccccc"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 210,127.39314 5,0 0,-4.96904 5.48452,0 0,4.96904 9.51548,0"
id="path5243"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:6.19140959px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-45"
y="107.36218"
id="text3192"
sodipodi:linespacing="125%"><tspan
id="tspan3194"
sodipodi:role="line"
x="-45"
y="107.36218"
style="font-style:normal;text-align:center;text-anchor:middle">TTL</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3196"
y="117.36218"
x="-45"
style="font-size:6.19140959px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
y="117.36218"
x="-45"
sodipodi:role="line"
id="tspan3198">TTL-BAR</tspan></text>
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3076"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 a 1,1 0 1 1 -2,0 1,1 0 1 1 2,0 z"
transform="matrix(2,0,0,2,54.78516,87.804161)" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 195,197.36218 10,0"
id="path3078"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cccccc"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 210,197.36218 5,0 0,-4.96904 5.48452,0 0,4.96904 9.51548,0"
id="path3080"
inkscape:connector-curvature="0" />
<text
sodipodi:linespacing="125%"
id="text3082"
y="200.36218"
x="2.9999995"
style="font-size:6.19140959000000013px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans;opacity:0.3"
xml:space="preserve"><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
y="200.36218"
x="3"
sodipodi:role="line"
id="tspan3086">Blocking</tspan><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
y="208.10144"
x="2.9999995"
sodipodi:role="line"
id="tspan3090">input</tspan></text>
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="289.28516"
height="183"
id="svg3211"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="ttl-outp.svg">
<defs
id="defs3213">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3062"
style="overflow:visible">
<path
id="path3064"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8284271"
inkscape:cx="166.91269"
inkscape:cy="60.106485"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
showguides="true"
inkscape:guide-bbox="true">
<inkscape:grid
type="xygrid"
id="grid3236"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
spacingx="1px"
spacingy="1px"
originx="85.5px"
originy="-709px" />
</sodipodi:namedview>
<metadata
id="metadata3216">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(85.5,-160.36218)">
<rect
y="212.36218"
x="10"
height="85"
width="125"
id="rect3779"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:0"
rx="5"
ry="5" />
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;marker-start:none"
d="m 147.81815,161.36218 0,181"
id="path4728"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="142.5"
y="176.36218"
id="text4947"
sodipodi:linespacing="125%"><tspan
id="tspan4949"
sodipodi:role="line"
x="142.5"
y="176.36218"
style="font-size:14px;font-weight:bold;text-align:end;text-anchor:end">FPGA</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4951"
y="176.36218"
x="152.5"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:14px;font-weight:bold;text-align:start;text-anchor:start;opacity:0.3"
y="176.36218"
x="152.5"
sodipodi:role="line"
id="tspan4953">Board</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4484"
y="256.36218"
x="182.5"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4488"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle"
y="256.36218"
x="182.5"
sodipodi:role="line">TTL output</tspan><tspan
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
y="263.86218"
x="182.5"
sodipodi:role="line"
id="tspan4342">(front panel)</tspan></text>
<path
inkscape:connector-curvature="0"
id="path4496"
d="m 140,257.36218 18,0"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
sodipodi:nodetypes="cc" />
<path
transform="matrix(2,0,0,2,122.78516,142.80416)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path5613"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<path
inkscape:connector-curvature="0"
id="path5329"
d="m 107.83465,232.55904 0,46.063 14.17323,-7.08662 0,-31.88976 -14.17323,-7.08662"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text5331"
y="271.5354"
x="111.37795"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="271.5354"
x="111.37795"
id="tspan5333"
sodipodi:role="line">0</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="111.37795"
y="247.36218"
id="text5335"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5337"
x="111.37795"
y="247.36218"
style="font-size:10px">1</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 65,271.22043 5.629914,0 0,5.37004 8.85827,0 0,-5.37004 10.511816,0"
id="path5345"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccccc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path5349"
d="m 24.566924,243.36218 83.433076,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path5357"
d="m 24.566934,243.36218 0,24.62993 83.267716,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="ccc" />
<g
id="g5367"
transform="translate(-561.85039,119.17318)">
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 602.36221,141.73229 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
id="path5323"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5325"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
transform="matrix(0.24999996,0,0,0.24999996,581.98819,119.58663)" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 122.00788,257.36218 12.99212,0"
id="path5373"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
transform="translate(-85.4163,274.07868)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path5375"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
sodipodi:nodetypes="cccccc"
inkscape:connector-curvature="0"
id="path4089"
d="m 65,236.22043 5.629914,0 0,-5.37004 8.85827,0 0,5.37004 10.511816,0"
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4091"
d="m 10,257.36218 14,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
d="m -20,257.36218 25,0"
id="path4313"
inkscape:connector-curvature="0" />
<rect
y="187.36218"
x="-85"
height="155"
width="60"
id="rect4950"
style="opacity:0.3;fill:#e5e5e5;fill-opacity:1;stroke-width:0.56;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0" />
<path
inkscape:connector-curvature="0"
id="path4952"
d="m -85,187.36218 60,0 0,155"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="ccc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-30"
y="260.36218"
id="text4969"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4971"
x="-30"
y="260.36218"
style="text-align:end;text-anchor:end">pulse_o</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4973"
y="202.36218"
x="-27.705078"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="202.36218"
x="-27.705078"
id="tspan4975"
sodipodi:role="line"
style="font-weight:bold;text-align:end;text-anchor:end">Conv.</tspan><tspan
y="214.86218"
x="-27.705078"
sodipodi:role="line"
id="tspan4977"
style="font-weight:bold;text-align:end;text-anchor:end">common</tspan><tspan
y="227.36218"
x="-27.705078"
sodipodi:role="line"
id="tspan4979"
style="font-weight:bold;text-align:end;text-anchor:end">gateware</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="185"
y="322.36218"
id="text4336"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="185"
y="322.36218"
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan4338">Blo. output</tspan><tspan
sodipodi:role="line"
x="185"
y="329.86218"
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan4340">(rear panel)</tspan></text>
<path
sodipodi:nodetypes="ccc"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3062)"
d="m -5,257.36218 0,65 163,0"
id="path4344"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path4348"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,122.78516,207.80416)" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 115,236.36218 0,-18 -6,0"
id="path4350"
inkscape:connector-curvature="0" />
<text
sodipodi:linespacing="125%"
id="text4352"
y="220.36218"
x="108"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:end;text-anchor:end"
y="220.36218"
x="108"
id="tspan4354"
sodipodi:role="line">TTL switch (active-high)</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3769"
y="292.36218"
x="110"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:center;text-anchor:middle"
y="292.36218"
x="110"
sodipodi:role="line"
id="tspan4961"><tspan
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan4965">6x</tspan> output logic</tspan></text>
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m -19.488184,247.73222 5.629914,0 0,-5.37004 8.85827,0 0,5.37004 10.511816,0"
id="path4424"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccccc" />
</g>
</svg>
......@@ -20,15 +20,13 @@
note = {\url{http://www.ohwr.org/documents/24}}
}
@misc{ctb-ug,
@misc{conv-ttl-blo-ug,
author = "Theodor-Adrian Stana",
title = {{CONV-TTL-BLO User Guide}},
month = 06,
year = 2013,
howpublished = {\url{http://www.ohwr.org/documents/263}}
}
@misc{ctb-hwguide,
@misc{conv-ttl-blo-hwguide,
author = "Theodor-Adrian Stana",
title = {{CONV-TTL-BLO Hardware Guide}},
month = 07,
......@@ -78,3 +76,24 @@
title = {{Platform-independent Core Collection webage on Open Hardware Repository}},
howpublished = {\url{http://www.ohwr.org/projects/general-cores/wiki}}
}
@misc{conv-common-gw,
title = {{Converter board common gateware specification on OHWR}},
howpublished = {\url{http://www.ohwr.org/documents/352}}
}
@misc{conv-common-gw-ohwr,
title = {{Converter board common gateware project page on OHWR}},
howpublished = {\url{http://www.ohwr.org/projects/conv-common-gw}}
}
@misc{conv-ttl-blo-ohwr,
title = {{CONV-TTL-BLO Project Page on OHWR}},
howpublished = {\url{http://www.ohwr.org/projects/conv-ttl-blo}}
}
@misc{conv-ttl-blo-sch,
title = {{CONV-TTL-BLO on CERN EDMS}}},
howpublished = {\url{https://edms.cern.ch/nav/EDA-02446}}
}
......@@ -9,8 +9,8 @@
% Hyperrefs
\usepackage[
colorlinks = true,
linkcolor = Mahogany,
citecolor = Mahogany,
linkcolor = black,
citecolor = black,
urlcolor = blue,
]{hyperref}
......@@ -48,7 +48,8 @@
%------------------------------------------------------------------------------
% Revision history
%------------------------------------------------------------------------------
\thispagestyle{empty}
\pagebreak
\addcontentsline{toc}{section}{Revision history}
\section*{Revision history}
\centerline
......@@ -69,1046 +70,208 @@
08-04-2014 & 2.10 & Version 2.1 of gateware, bringing down the max. allowed input pulse frequency,
changing the ERR LED behaviour, adding system errors and changing the pulse
timetag FIFO for a timetag ring buffer \\
25-09-2014 & 3.00 & Version 3.0 of gateware, using the new converter board common gateware~\cite{conv-common-gw-ohwr} \newline
\textbf{This version changes the memory map} \\
\hline
\end{tabular}
}
}
%------------------------------------------------------------------------------
% List of figs, tables
%------------------------------------------------------------------------------
\pagebreak
\pagenumbering{roman}
\setcounter{page}{1}
\pdfbookmark[1]{\contentsname}{toc}
\tableofcontents
\listoffigures
%\listoftables
%------------------------------------------------------------------------------
% List of figs, tables, abbrevs
% List of abbreviations
%------------------------------------------------------------------------------
\listoffigures
\listoftables
\section*{List of Abbreviations}
\pagebreak
\section*{List of abbreviations}
\begin{tabular}{l l}
DAC & Digital-to-Analog Converter \\
FPGA & Field-Programmable Gate Array \\
FF & Flip-Flop \\
FSM & Finite-State Machine \\
IC & Integrated Circuit \\
I$^2$C & Inter-Integrated Circuit (bus) \\
PLL & Phase-Locked Loop \\
RTM & Rear-Transition Module \\
RAM & Random-Access Memory \\
SPI & Serial Peripheral Interface \\
SysMon & (ELMA) System Montior \\
VCXO & Voltage-controlled oscillator \\
WRPC & White-Rabbit PTP Core \\
FPGA & Field-Programmable Gate Array \\
LSR & Line Status Register \\
SR & Status Register \\
\end{tabular}
\pagebreak
\pagenumbering{arabic}
\setcounter{page}{1}
\addcontentsline{toc}{section}{List of abbreviations}
%==============================================================================
% SEC: Intro
%==============================================================================
\pagebreak
\section{Introduction}
\label{sec:intro}
This document details the HDL implemented on the Spartan-6 FPGA on the CONV-TTL-BLO
board. The HDL (mostly implemented in VHDL) handles the following aspects of
the CONV-TTL-BLO capabilities:
\begin{itemize}
\item pulse detection (on pulse rising edge)
\item fixed-width pulse generation with pulse rejection
\item diagnostics via I$^2$C
\begin{itemize}
\item converter board ID
\item gateware version
\item unique board ID and temperature readout
\item state of on-board switches and RTM detection lines
\item input pulse counters
\item input pulse time-tagging
\item manual pulse triggering
\end{itemize}
\item remote reprogramming via I$^2$C
\end{itemize}
Figure~\ref{fig:hdl-bd} shows a simplified block diagram of the HDL gateware. The
blocks in this figure implemented as part of the CONV-TTL-BLO gateware are presented
in the sections that follow.
\begin{figure}[h]
\centerline{\includegraphics[width=.9\textwidth]{fig/hdl-bd}}
\caption{Block diagram of FPGA gateware}
\label{fig:hdl-bd}
\end{figure}
This document is the HDL guide for the CONV-TTL-BLO board~\cite{conv-ttl-blo-ohwr}.
The HDL for the CONV-TTL-BLO board uses the converter board common gateware~\cite{conv-common-gw-ohwr}
as a subproject and adds some external logic to it to adapt for peculiarities on the CONV-TTL-BLO.
This short HDL guide explains these peculiarities and the corresponding logic implemented.
%------------------------------------------------------------------------------
% SUBSEC: Additional doc
%------------------------------------------------------------------------------
\subsection*{Additional documentation}
\subsection{Additional documentation}
\begin{itemize}
\item CONV-TTL-BLO User Guide \cite{ctb-ug}
\item CONV-TTL-BLO Hardware Guide \cite{ctb-hwguide}
\item Converter board common gateware~\cite{conv-common-gw-ohwr}
\item CONV-TTL-BLO User Guide~\cite{conv-ttl-blo-ug}
\item CONV-TTL-BLO schematics~\cite{conv-ttl-blo-sch}
\item CONV-TTL-BLO OHWR Wiki page~\cite{conv-ttl-blo-ohwr}
\end{itemize}
%==============================================================================
% SEC: Clocks
% SEC: Overview
%==============================================================================
\pagebreak
\section{FPGA clocks}
\label{sec:clocks}
There are two clock signals input to the FPGA (Figure~\ref{fig:clocks}).
The first is a 20~MHz signal from a VCXO. The second clock signal with a frequency
of 125~MHz is generated on-board via a Texas Instruments PLL IC from a 25~MHz VCXO.
\section{Overview}
\label{sec:overview}
Two DACs are provided on-board for controlling the two VCXOs. The DACs can be
controlled via SPI, and if White Rabbit is available, the White Rabbit PTP Core (WRPC)
can control these DACs to discipline these clocks.
A block diagram of the HDL is shown in Figure~\ref{fig:block-diagram}. This document
will detail each of the blocks outside the converter common gateware block in the
following sections. The contents of the common gateware block are detailed in the
converter common gateware specification~\cite{conv-common-gw}.
Table~\ref{tbl:clocks} lists the clock domains used in the gateware.
For a more general look at the pulse repetition logic tailored to the CONV-TTL-BLO,
refer to the CONV-TTL-BLO User Guide~\cite{conv-ttl-blo-ug}.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/clocks}}
\caption{FPGA clock inputs}
\label{fig:clocks}
\centerline{\includegraphics[width=1.1\textwidth]{fig/block-diagram}}
\caption{\label{fig:block-diagram} Block diagram of CONV-TTL-BLO gateware}
\end{figure}
\begin{table}[h]
\caption{Clock domains}
\label{tbl:clocks}
\centerline
{
\begin{tabular}{c c p{.6\textwidth}}
\hline
\textbf{Clock domain} & \textbf{Frequency} & \multicolumn{1}{c}{\textbf{Comments}} \\
\hline
\textit{clk\_20\_vcxo\_i} & 20~MHz & Global clock input to all sequential logic. \\
\textit{clk\_125} & 125~MHz & Time-tagging logic and WR reference clock. \\
\hline
\end{tabular}
}
\end{table}
%==============================================================================
% SEC: Mem-mapped periphs
%==============================================================================
\pagebreak
\section{Memory-mapped peripherals}
\label{sec:periphs}
This section details the various peripherals mapped on the internal
Wishbone bus. Access to these peripherals is made through the two serial lines
on the VME P1 connector (SERCLK, SERDAT). A protocol based on I$^2$C is used to
access these peripherals. The protocol, as well as the bridge component
translating I$^2$C accesses into Wishbone accesses, are defined in the bridge
component's documentation.
The complete memory map of the gateware can be found in Appendix~\ref{app:memmap}.
%------------------------------------------------------------------------------
% SUBSEC: Bridge
%------------------------------------------------------------------------------
\subsection{I$^2$C to Wishbone bridge}
\label{sec:i2c-bridge}
The \textit{wb\_i2c\_bridge} module implements a bridge to translate I$^2$C accesses
on the VME P1 connector into Wishbone accesses on the FPGA. The module provides
one I$^2$C slave interface for connecting to an ELMA SysMon and one Wishbone
master interface.
Details about the module's implementation can be found in its documentation.
%------------------------------------------------------------------------------
% SUBSEC: conv_regs
%------------------------------------------------------------------------------
\subsection{Converter board registers}
\label{sec:periphs-conv-regs}
A set of registers are implemented as general-purpose registers for converter boards.
These are status and control registers implemented utilizing \textit{wbgen2}~\cite{wbgen2}.
Appendix~\ref{app:conv-regs} presents the converter board registers.
On the status registers side, there is one general status register (SR -- see
Appendix~\ref{app:conv-regs-sr}) that contains details about the gateware version,
the state of the on-board switches and RTM detection lines, as well as the state
of the communication watchdog timer. Then, there are six pulse counter registers
(CHxPCR -- see Appendix~\ref{app:conv-regs}), one per each channel, which are updated
with the current values of the input pulse counters. These are followed by the
time-tagging logic (Section~\ref{sec:timetag}) registers.
The logic also contains one control register (CR -- see Appendix~\ref{app:conv-regs-cr}),
which contains two bits for remotely resetting the FPGA logic and six bits used by the
manual pulse triggering (Section~\ref{sec:man-trig}).
%------------------------------------------------------------------------------
% SUBSEC: MultiBoot
%------------------------------------------------------------------------------
\subsection{MultiBoot control}
\label{sec:periphs-multiboot}
The MultiBoot module offers the remote reprogramming capabilities for the
CONV-TTL-BLO board. It offers a set of registers for controlling writing a bitstream
to the M25P32 flash chip and for issuing the remote reprogramming command.
For information on the module, refer to its documentation. The memory map of the
module is also present in this manual, for quick reference (see
Appendix~\ref{app:multiboot-regs}).
%------------------------------------------------------------------------------
% SUBSEC: Onewire
%------------------------------------------------------------------------------
\subsection{One-wire master}
\label{sec:periphs-onewire}
The one-wire master provides access to the DS18B20 thermometer chip~\cite{ds18b20}
on the CONV-TTL-BLO. It provides two registers for software control of the module.
Note that the FPGA does not control the one-wire thermometer line in any way.
Accessing the thermometer is done through software only.
More details about how to access the one-wire master module can be found in its
documentation~\cite{onewire-core}.
%==============================================================================
% SEC: Reset gen
%==============================================================================
\pagebreak
\section{Reset generator}
\label{sec:reset-gen}
\centerline
{
\begin{tabular}{l l l}
\hline
\textbf{Entity} & \textit{reset\_gen} & \\
\textbf{Generics} & \textit{g\_reset\_time} & Reset time in \textit{clk\_i} cycles \\
\textbf{Ports} & \textit{clk\_i} & Clock signal \\
& \textit{rst\_i} & Active-high reset input \\
& \textit{rst\_n\_o} & Active-low reset output \\
\textbf{Usage} & Global reset generation & 100~$ms$ reset \\
\hline
\end{tabular}
}
\vspace*{11pt}
The reset generator module (\textit{reset\_gen}) implemented inside the FPGA
generates a predefined-width reset signal when power is applied to the FPGA, or
when an external reset is triggered via the \textit{rst\_i} pin.
When a power-on reset occurs on the Xilinx FPGA, a counter inside the \textit{reset\_gen}
module starts counting up. While this counter is counting up, the active-low reset signal
is kept low, resetting synchronous logic inside the FPGA. When the counter reaches the
value of the reset width (specified via the \textit{g\_reset\_time} generic), the reset
signal is de-asserted, the counter is disabled and the \textit{reset\_gen}
module remains inactive.
The module reactivates on the power-on reset, or when a reset is triggered externally, via
the \textit{rst\_i} pin. The \textit{rst\_i} pin is tied in the design to the second bit
in the control register (CR -- see Appendix~\ref{app:conv-regs-cr}), which has to be first
unlocked by writing the RST\_UNLOCK bit. Both these registers are implemented in the
top-level file of the design.
Note that the VHDL of this module is Xilinx and XST-specific and porting to a different
FPGA architecture is not guaranteed to provide the same results. The \textit{reset\_gen}
module has an initial value set for the counter signal after power-up, which is guaranteed
by XST to be set after the FPGA's GSR signal is de-asserted.
By default, the reset time is set to 100~ms.
\section{Input logic}
%==============================================================================
% SEC: Bicolor LEDs
% SEC: TTL input logic
%==============================================================================
\pagebreak
\section{Bicolor LED controller}
\label{sec:bicolor-led}
\centerline
{
\begin{tabular}{l l l}
\hline
\textbf{Entity} & \textit{bicolor\_led\_ctrl} & \\
\textbf{Generics} & \textit{g\_NB\_COLUMN} & Number of columns \\
& \textit{g\_NB\_LINE} & Number of lines \\
& \textit{g\_CLK\_FREQ} & Frequency (in Hz) of \textit{clk\_i} signal \\
& \textit{g\_REFRESH\_RATE} & LED refresh rate (in Hz)\\
\textbf{Ports} & \textit{rst\_n\_i} & Active-low reset input \\
& \textit{clk\_i} & Clock signal input \\
& \textit{led\_intensity\_i(6..0)} & 7-bit LED intensity vector \\
& \textit{led\_state\_i(..)} & LED state vector, two bits per LED \\
& \textit{column\_o(..)} & LED column vector, one bit per column \\
& \textit{line\_o(..)} & LED line vector, one bit per line \\
& \textit{line\_oen\_o(..)} & LED line enable vector, one bit per line\\
\textbf{Usage} & Light bicolor LEDS & \\
\hline
\end{tabular}
}
\subsection{TTL/TTL-BAR input logic}
\label{sec:ttl-input}
\vspace*{11pt}
The TTL/TTL-BAR input logic is shown in Figure~\ref{fig:ttl-inp}. It assures
an active-high pulse to the \textit{pulse\_i} input of the \textit{conv\_common\_gw}
component and adapts for TTL-BAR pulses that may be input when the TTL switch is
on.
The \textit{bicolor\_led\_ctrl} block controls the lighting of a bicolor
LED matrix. Based on the refresh rate given via the \textit{g\_REFRESH\_RATE}
generic, the clock frequency (\textit{g\_CLK\_FREQ} generic) and the number of
lines and columns, the module lights each LED in the LED matrix sequentially at
the refresh rate given by the user.
In addition, because in TTL-BAR mode a lack of signal on the line is high (due
to the on-board Schmitt-trigger buffer), the \textit{no signal detect} block
(Figure~\ref{fig:no-sig-detect}) disables this line if it is high for 100~${\mu}s$,
to allow propagation of blocking pulses arriving on the rear panel while the channel
has no cable plugged in while in TTL-BAR mode.
Figure~\ref{fig:bicolor-led} shows an example of controlling a three-line,
two-column red-and-green LED matrix. The FPGA ouputs for the columns~(C) are connected
to buffers and serial resistors and then to the LEDs. The FPGA outputs for lines~(L)
are connected to tri-state buffers and then to the LEDs. The FPGA outputs for line
output enables~(L\_OEN) are connected to the output enable of the tri-state buffers.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/ttl-inp}}
\caption{\label{fig:ttl-inp} TTL/TTL-BAR input logic}
\begin{figure}[hbtp]
\centerline{\includegraphics[width=\textwidth]{fig/bicolor-led}}
\caption{3x2 bicolor LED matrix control}
\label{fig:bicolor-led}
\centerline{\includegraphics[width=.8\textwidth]{fig/no-sig-detect}}
\caption{\label{fig:no-sig-detect} No signal detect block}
\end{figure}
The two-bit \textit{led\_state\_i} vector can be used to control the color of each
LED. Table~\ref{tbl:bicolor-led-state} lists the values that should be input on
\textit{led\_state\_i} to get the needed color, as well as constant definitions
provided in the \textit{bicolor\_led\_ctrl\_pkg.vhd} file for setting the color of the LED
via \textit{led\_state\_i}.
\begin{table}[h]
\caption{LED state input}
\label{tbl:bicolor-led-state}
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l l c}
\hline
\multicolumn{1}{c}{\textbf{State}} & \multicolumn{1}{c}{\textbf{Constant}} & \textbf{Value} \\
\hline
Off & c\_LED\_OFF & 00 \\
Green & c\_LED\_GREEN & 01 \\
Red & c\_LED\_RED & 10 \\
Orange & c\_LED\_RED\_ORANGE & 11 \\
\hline
\end{tabular}
}
\end{table}
Each LED's two-bit state is connected to \textit{led\_state\_i} on a column-first,
line-second basis.
%------------------------------------------------------------------------------
% SUBSEC: Board-level
%------------------------------------------------------------------------------
\subsection{Board-level view}
\label{sec:bicolor-led-brdlvl}
There are twelve bicolor LEDs on the CONV-TTL-BLO; they are connected in a two-line,
six-column pattern controlled by a \textit{bicolor\_led\_ctrl} block.
Table~\ref{tbl:bicolor-led-state-conn} shows the \textit{led\_state\_i} connections
for the bicolor status LEDs in the CONV-TTL-BLO gateware.
\begin{table}[h]
\caption{LED state vector connections in the gateware}
\label{tbl:bicolor-led-state-conn}
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l c l c}
\hline
\textbf{Line} & \textbf{Column} & \multicolumn{1}{c}{\textbf{LED}} & \textbf{LED state bits} \\
\hline
1 & 1 & WHITE\_RABBIT\_ADDR & \textit{1..0} \\
1 & 2 & WHITE\_RABBIT\_GMT & \textit{3..2} \\
1 & 3 & WHITE\_RABBIT\_LINK & \textit{5..4} \\
1 & 4 & WHITE\_RABBIT\_OK & \textit{7..6} \\
1 & 5 & MULTICAST\_ADDR\_1 & \textit{9..8} \\
1 & 6 & MULTICAST\_ADDR\_2 & \textit{11..10} \\
2 & 1 & I2C & \textit{13..12} \\
2 & 2 & TTL & \textit{15..14} \\
2 & 3 & ERR & \textit{17..16} \\
2 & 4 & PW & \textit{19..18} \\
2 & 5 & MULTICAST\_ADDR\_4 & \textit{21..20} \\
2 & 6 & MULTICAST\_ADDR\_8 & \textit{23..22} \\
\hline
\end{tabular}
}
\end{table}
The states of the used LEDs can be found in Table 1 of the CONV-TTL-BLO User
Guide~\cite{ctb-ug}. They are controlled by combinatorial multiplexers. The
selection signals to these multiplexers are set throughout the logic.
%==============================================================================
% SEC: Pulse gen
% SEC: First pulse inhibit
%==============================================================================
\pagebreak
\section{Pulse generator}
\label{sec:pulse-gen}
\centerline
{
\begin{tabular}{l l l}
\hline
\textbf{Entity} & \textit{ctblo\_pulse\_gen} & \\
\textbf{Generics} & \textit{g\_pwidth} & Width of the output pulse in \textit{clk\_i} cycles \\
& \textit{g\_duty\_cycle\_div} & Duty cycle divider ratio \\
\textbf{Ports} & \textit{clk\_i} & Clock signal \\
& \textit{rst\_n\_i} & Active-low reset signal \\
& \textit{en\_i} & Pulse generator enable \\
& \textit{gf\_en\_n\_i} & Active-low glitch filter enable \\
& \textit{trig\_a\_i} & Pulse trigger \\
& \textit{pulse\_err\_p\_o} & Pulse error \\
& \textit{pulse\_o} & Pulse output \\
\textbf{Usage} & Output pulse & 1.2~$\mu$s pulses with min. period of 6~$\mu$s \\
& & and one-cycle wide glitch filter \\
\hline
\end{tabular}
}
\subsection{First pulse inhibit mechanism}
\label{sec:first-pulse-inhibit}
\vspace*{11pt}
The first pulse inhibit mechanism (Figure~\ref{fig:first-pulse-inhibit}) is implemented
in the form of a counter which waits for 100~${\mu}s$ after reset prior to enabling the
line. It is implemented because in TTL-BAR mode, until an inactive line is disabled,
the TTL line is high and this may lead to a pulse triggered on the channel, due to reset
of modules within the \textit{conv\_common\_gw} component.
The \textit{conv\_pulse\_gen} block generates pulses on the rising edge of the
\textit{trig\_a\_i} input. The pulse width is configurable via the \textit{g\_pwidth}
generic. The block also incorporates a glitch filter with a configurable length
(\textit{g\_gf\_len}) that can be used to avoid pulses generated because of
glitches at the \textit{trig\_a\_i} input.
By keeping the line disabled until the no signal detect block in the TTL input logic
(Section~\ref{sec:ttl-input}) disables the line, no pulse is triggered on the channel.
Pulse widths at the output are limited internally to 1/5 duty cycle, to safeguard
the blocking output transformers.
Six \textit{conv\_pulse\_gen} blocks (one per channel) are used for generating blocking and TTL
pulses at the outputs, based on trigger inputs arriving on the channels. The \textit{conv\_pulse\_gen} blocks
are configured for 1.2~${\mu}$s pulses (\textit{g\_pwidth~=~24}, considering the 50~ns clock input).
%------------------------------------------------------------------------------
% SUBSEC: Implem
%------------------------------------------------------------------------------
\subsection{Implementation}
\label{sec:pulse-gen-implem}
Figure~\ref{fig:pulse-gen} shows the implementation of the \textit{conv\_pulse\_gen}
block. It employs a finite-state machine (FSM) that is used to generate
a fixed-width pulse at the output.
An external glitch filter (\textit{gc\_glitch\_filt} from the OHWR general-cores library)
can be enabled by the user by flipping SW1.1. Enabling this external glitch filter will mean
the input trigger is sampled with the 20~MHz clock prior to it being input to the glitch filter
(see Figure~\ref{fig:hdl-bd}).
To keep the logic simple, the pulse inhibit logic disables the line even when the board is in
TTL repetition mode. Since in practice the effect it has on the input to the
\textit{conv\_common\_gw} is extending the 100~ms reset by 100~${\mu}s$, an extra
0.1\% delay from reset to full pulse replication capabilities is deemed insignificant
in comparison to logic simplification.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/pulse-gen}}
\caption{Pulse generator block}
\label{fig:pulse-gen}
\centerline{\includegraphics[width=.9\textwidth]{fig/first-pulse-inhibit}}
\caption{\label{fig:first-pulse-inhibit} First pulse inhibit mechanism}
\end{figure}
Regardless of whether the glitch filter is enabled or not, the FSM reacts to the
rising edge of one of its two start inputs. A rising edge on an input starts
the internal counter, which counts up to a maximum value in order to assure a
pulse with the length \textit{g\_pwidth}. However, since the glitch filter adds a
delay to the input pules, the behavior of the outputs is different depending on whether
the filter is enabled or not.
With the glitch filter disabled, the input pulse enables the input flip-flop, which starts
pulse generation. The generated pulse signal is then synchronized in the \textit{clk\_20\_vcxo\_i}
domain and input to the synchronous FSM, which extends the pulse to the appropriate pulse width.
The rising edge on \textit{SGF0} triggers the counter, and when the counter reaches the value
corresponding to the selected pulse width, it sets the \textit{OGF0} output, which will reset
the input flip-flop, thus ending the pulse.
The output of the pulse-triggered flip-flop is fed into the synchronizer since this is guaranteed
to be a signal which is longer than two clock cycles, thus being detected by the FSM. Had the
trigger input been fed directly to the synchronization FFs, a shorter-than-one-cycle glitch would
trigger a pulse but not the synchronization FFs, and an output signal can potentially be extended
to a pulse length which is unsafe for the controlling MOSFET on the CONV-TTL-BLO board.
With the glitch filter enabled, the rising edge on \textit{SGF1} sets \textit{OGF1},
and this will be kept high until the counter reaches the value corresponding to the
pulse width. The input trigger signal is synchronized into the 20~MHz clock domain outside
the \textit{conv\_pulse\_gen} block, and is fed directly to the input of the FSM.
Before being fed to the FSM, however, the glitch-filtered signal is passed through a \textit{pulse
inhibit circuit}, which inhibits the first pulse when the board is in TTL-BAR repetition mode.
In this mode, an unconnected channel is always HIGH and until the
\textit{no signal detect} block outside the \textit{conv\_pulse\_gen} block (see the next
subsection) triggers, the continuous HIGH signal will trigger a pulse, due to the reset
state of the rising-edge detector on the FSM input.
After the pulse generation period, the FSM goes into a pulse rejection state,
where the pulse reset is kept high. If any pulses arrive on the input while the FSM
is in this rejection state, they are not replicated at the output. The pulse rejection
phase lasts for \textit{g\_duty\_cycle\_div}*\textit{g\_pwidth}, yielding a maximum duty
cycle of 1/\textit{g\_duty\_cycle\_div} for input pulses.
Should a pulse rising-edge arrive anywhere within the generation or rejection phase
in the FSM, the \textit{pulse\_err\_p\_o} output is set high for one clock cycle. This
signal can be used to, e.g., count the number of pulses that were rejected on a board. In
the actual top-level design, however, missed pulse counting is not implemented, the
\textit{pulse\_err\_p\_o} output simply asserts the SR.PMISSE bit (see
Appendix~\ref{app:conv-regs-sr}).
Note that due to the fact that the counter starts counting up from zero and delays
in the glitch filter when it is enabled, the maximum value of the internal counter is not
\textit{g\_pwidth}. Instead, the counter counts up to a pair of VHDL constants defined
in the code. These constants assure the pulse at the output is kept high for a number of
\textit{g\_pwidth} cycles of the \textit{clk\_i} signal.
%------------------------------------------------------------------------------
% SUBSEC: Board-level
%------------------------------------------------------------------------------
\subsection{Board-level view}
\label{sec:pulse-gen-brdlvl}
Figure~\ref{fig:pulse-brd} shows the pulse replication mechanism on the
CONV-TTL-BLO. Here, the \textit{PG} block is the \textit{conv\_pulse\_gen} block
with the necessary settings. This block can either be triggered via a pulse arriving
on the TTL or blocking channel, or by a manual trigger pulse arriving from the
\textit{conv\_man\_trig} component (see Section~\ref{sec:man-trig}).
\begin{figure}[h]
\centerline{\includegraphics[width=.9\textwidth]{fig/pulse-rep}}
\caption{Board-level view of pulse replication mechanism}
\label{fig:pulse-brd}
\end{figure}
Since the \textit{conv\_pulse\_gen} block expects a rising edge at its \textit{trig\_a\_i}
input in order to generate a pulse at the output, logic external to the block
caters for the different types of signals that arrive on CONV-TTL-BLO inputs.
Most of this external logic is on the TTL pulse side, where both TTL and TTL-BAR
pulses may arrive. As described in Section 4.3 of the CONV-TTL-BLO User Guide~\cite{ctb-ug},
if a wire is not plugged in when TTL-BAR pulses are input, a continuous logic high level
on the line would inhibit pulses arriving on the blocking side from triggering a pulse
generation. This is why the \textit{no signal detect} block has been implemented.
The block's implementation is shown in Figure~\ref{fig:no-sig-detect}. It is implemented as
a counter which keeps the \textit{en\_o} signal high as long as it does not reach its maximum value.
The counter counts up when the \textit{cnt} input is high. By setting the maximum value
of the counter to 1999, it disables the line to the multiplexer if this stays high
for 100~${\mu}s$, thus allowing for blocking pulses at the input of the OR gate. The line
is re-enabled as soon as it goes back low, i.e., when a wire has been plugged into the
channel.
\begin{figure}[h]
\centerline{\includegraphics[width=.65\textwidth]{fig/no-sig-detect}}
\caption{No signal detect block}
\label{fig:no-sig-detect}
\end{figure}
Both the \textit{no signal detect} block and the glitch filter are generated
for each channel in the top-level VHDL file of the design.
%==============================================================================
% SEC: Pulse counters
% SEC: Line input logic
%==============================================================================
\pagebreak
\section{Pulse counters}
\label{sec:pulse-cnt}
\subsection{Line input logic}
\label{sec:line-input}
There are a total of six pulse counters implemented in the logic. Their
implementation is achieved via a single process -- \textit{p\_pulse\_cnt}.
Figure~\ref{fig:pulse-cnt} presents the implementation of the pulse counters.
When a pulse arrives on either the TTL or blocking side, it is resynchronized
in the 20~MHz clock domain and passed through a rising edge detector. When
a rising edge occurs on the pulse, the counter is incremented by one and stored
to the channel pulse counter register (CHxPCR -- see Appendix~\ref{app:conv-regs})
register.
Note that this register is implemented outside of the \textit{conv\_regs} component,
since it is a read-write register. When the register is written by the \textit{conv\_regs}
component, the \textit{load} output is asserted and the register is loaded with
the value received via I$^2$C.
The line input logic adapts the levels present at the FPGA inputs due to various
on-board circuitry, so that the levels in the line status register (LSR) is
active-high. As seen in Figure~\ref{fig:line-inp}, only the TTL and INV-TTL lines
need adaptation in the case of the CONV-TTL-BLO, since the blocking inputs are
already adapted on-board for active-high logic.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/pulse-cnt}}
\caption{Pulse counter implementation}
\label{fig:pulse-cnt}
\centerline{\includegraphics[width=\textwidth]{fig/line-inp}}
\caption{\label{fig:line-inp} Line input logic}
\end{figure}
%==============================================================================
% SEC: Manual trigger
% SEC: Switch input logic
%==============================================================================
\pagebreak
\section{Manual pulse trigger}
\label{sec:man-trig}
\centerline
{
\begin{tabular}{l l l}
\hline
\textbf{Entity} & \textit{conv\_man\_trig} & \\
\textbf{Generics} & \textit{g\_nr\_chan} & Pulse repeater number of channels \\
& \textit{g\_gf\_len} & Pulse generator glitch filter length \\
\textbf{Ports} & \textit{clk\_i} & Clock signal \\
& \textit{rst\_n\_i} & Active-low reset signal \\
& \textit{reg\_ld\_i} & MPT in the CR written \\
& \textit{reg\_i} & Value of MPT field in the CR \\
& \textit{trig\_o} & Trigger output \\
\textbf{Usage} & Trigger pulse generator & Two clock-cycle pulse output \\
\hline
\end{tabular}
}
\vspace*{11pt}
The manual pulse triggering mechanism is achieved via the \textit{conv\_man\_trig}
module. This module generates a pulse that is input to the \textit{conv\_pulse\_gen}
module and that triggers a 1.2~$\mu$s pulse on the TTL and blocking outputs.
\subsection{Switch input logic}
\label{sec:sw-input}
Since manual pulse generation is a delicate feature which is only used when a debug
pulse is generated on a channel, a "password" is needed in order to manually trigger
a pulse. This "password" is obtained from the MPT field in the control register
(CR -- see Appendix~\ref{app:conv-regs-cr}), where a magic sequence of
numbers should be written. Once this magic sequence has been input, the next write to
the register should be the channel number. If a valid channel number is written,
a pulse is generated on this channel.
Similar to the line input logic (Section~\ref{sec:line-input}), the general-purpose
switch lines must be negated for their active-high reflection in the SR, as
shown in Figure~\ref{fig:switches}.
\begin{figure}[h]
\centerline{\includegraphics[width=.6\textwidth]{fig/man-trig-fsm}}
\caption{FSM of the \textit{conv\_man\_trig} component}
\label{fig:man-trig-fsm}
\centerline{\includegraphics[width=.9\textwidth]{fig/switches}}
\caption{\label{fig:switches} Switch input logic}
\end{figure}
The \textit{conv\_man\_trig} takes the value of the MPT field in the control register
as an input and via the state-machine shown in Figure~\ref{fig:man-trig-fsm}, it checks
that the values written to the MPT field correspond to the magic sequence (Table~\ref{tbl:man-trig-magic}).
The FSM advances on writes to the MPT field of the CR. Once the magic sequence has been received,
the next write to the MPT field sets the \textit{trig\_o} output, which is input to the \textit{trig\_a\_i}
input of \textit{conv\_pulse\_gen} (see Section~\ref{sec:pulse-gen}) after it is ORed together with the
TTL and blocking inputs as shown in Figure~\ref{fig:pulse-brd}. Should an invalid channel number be
input, no error is reported and no pulse is generated.
\begin{table}[h]
\caption{Magic sequence to initiate manual pulse triggering}
\label{tbl:man-trig-magic}
\centerline
{
\begin{tabular}{c c c c}
\hline
\textbf{Byte 0} & \textbf{Byte 1} & \textbf{Byte 2} & \textbf{Byte 3} \\
\hline
0xde & 0xad & 0xbe & 0xef \\
\hline
\end{tabular}
}
\end{table}
To generate a long enough pulse to be detected by the \textit{conv\_pulse\_gen} component
when its glitch filter is enabled, the \textit{conv\_man\_trig} should have knowledge
of the length of the pulse generator's glitch filter, which can be supplied via the
\textit{g\_gf\_len} generic. With the value of this generic, the \textit{conv\_man\_trig}
component extends the \textit{trig\_o} pulse to the necessary number of cycles for the
\textit{conv\_pulse\_gen} to detect a pulse. This extension is done in the \textit{GEN}
state (Figure~\ref{fig:man-trig-fsm}).
%======================================================================================
% SEC: Pulse timetag
%======================================================================================
\pagebreak
\section{Pulse time-tagging}
\label{sec:timetag}
\section{Output logic}
The time-tagging architecture is shown in Figure~\ref{fig:timetag-arch}. There are two
clock domains in the design. The time-tag controller and the time from the WRPC are both
in the 125~MHz clock domain, while the ring buffer is in both the 20~MHz clock domain and
the 125~MHz clock domain.
\begin{figure}[h]
\centerline{\includegraphics[width=.9\textwidth]{fig/timetag-arch}}
\caption{Pulse time-tagging architecture}
\label{fig:timetag-arch}
\end{figure}
The timetag controller and ring buffer components are connected at the top-level. The outputs
of the ring buffer are connected directly to the \textit{conv\_regs} component at the top
level also.
%======================================================================================
% SUBSEC: Timetag controller
%======================================================================================
\subsection{Timetag controller}
\label{sec:timetag-ctrl}
%==============================================================================
% SEC: TTL/TTL-BAR output logic
%==============================================================================
\subsection{TTL/TTL-BAR output logic}
\label{sec:ttl-output}
The time-tag controller in Figure~\ref{fig:timetag-arch} is the \textit{conv\_pulse\_timetag}
VHDL component. It is designed to be connected directly to the ring buffer as shown above. As opposed
to what the simplified architecture above shows, the time-tag controller also implements the
local time counters.
The TTL/TTL-BAR output logic (Figure~\ref{fig:ttl-outp}) ensures that TTL pulses
are propagated from the \textit{pulse\_o} output of \textit{conv\_common\_o} to
the FPGA output when the TTL switch is ON, or that TTL-BAR pulses are propagated
when it is OFF.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/timetag-core}}
\caption{Timetag controller logic}
\label{fig:timetag-core}
\centerline{\includegraphics[width=.7\textwidth]{fig/ttl-outp}}
\caption{\label{fig:ttl-outp} TTL output logic}
\end{figure}
The block's design is presented in Figure~\ref{fig:timetag-core}. This figure shows the functioning
when the \textit{conv\_pulse\_timetag} component is clocked from the 125~MHz clock, as is the case in the
converter board designs. Note however that the component can work with any clock rate by changing
the \textit{g\_clk\_rate} generic.
A free-running counter inside the block counts the ticks of the \textit{clk\_i} signal
to count the seconds. When it reaches the value \textit{g\_clk\_rate-1} (125~mega in
the figure), it resets and sends a "tick" to the TAI seconds counter, which then increments.
As seen in Figure~\ref{fig:hdl-bd}, the pulse inputs are derived from the OR gate which
ORs together the TTL and blocking inputs. Since these pulses can be asynchronous, they
are synchronized in the 125~MHz domain and passed through rising edge detectors. A rising
edge on any pulse channel triggers the \textit{buf\_wr\_req\_p\_o} signal. As the port's
name suggests, the \textit{buf\_wr\_req\_p\_o} signal is a one-cycle pulse that triggers
a write to the ring buffer.
All the output ports are connected externally directly to the ring buffer, therefore when the
\textit{buf\_wr\_req\_p\_o} output pulses, they are written to the ring buffer. As shown in
Figure~\ref{fig:timetag-arch}, the \textit{tm\_wpres\_o} signal is also reflected in the
board status register (SR -- see Appendix~\ref{app:conv-regs-sr}).
Note that due to the synchronization logic, rising edge detector and the latching of the
ORed pulse rising edge detection signal, the \textit{buf\_wr\_req\_p\_o} signal is
set between three and four cycles after the pulse signal actually arrives on the input.
Due to the two clock domains in the design, some synchronization logic is needed. This is
achieved via \textit{gc\_sync\_ffs} components from the \textit{general-cores}
library~\cite{gencores-ohwr} in the case of the WR time valid signals storage to the SR
and in the case of the TAI time value load pulses from the \textit{conv\_regs} to the
\textit{conv\_pulse\_timetag} components.
The TAI time signal is not synchronized before being connected to the \textit{conv\_regs}
component, since its rate of change of once per second is considered too slow to present
any problem of synchronization when read by the user.
%======================================================================================
% SUBSEC: Ring buffer
%======================================================================================
\subsection{Ring buffer}
\label{sec:timetag-ring-buf}
The mechanics of the ring buffer are presented in the \textbf{Ring buffer mechanics}
section of the CONV-TTL-BLO user guide~\cite{ctb-ug}. This section gives a few more details about the
implementation of the ring buffer, which can be found in the \textit{conv\_ring\_buf.vhd} file.
\begin{figure}
\centerline{\includegraphics[width=.8\textwidth]{fig/timetag-ring-buf}}
\caption{Ring buffer implementation}
\label{fig:timetag-ring-buf}
\end{figure}
A high-level view of the implementation is shown in Figure~\ref{fig:timetag-ring-buf}.
This figure is oriented towards the converter board designs, but the ring buffer is
generic and can be used in other designs by properly instantiating it.
The ring buffer is implemented via a dual-clock, asynchronous FIFO, whose purpose is to
synchronize data between the read and write clock domains, a dual-port RAM clocked
with the read clock, and control logic for the buffer RAM. In the case of the converter
board designs, the write clock is the 125~MHz clock and the read clock is the 20~MHz clock.
The buffer control logic controls when the read and write pointers get incremented and
when the empty and full signals are set. The setting of the empty and full signals are
based on a buffer count signal, which gets incremented when a write is performed and the
buffer is not full, and decremented when a read is performed and the buffer is not empty.
%======================================================================================
% SEC: Folder structure
%======================================================================================
\pagebreak
\section{Folder structure}
\label{sec:fold-struct}
Gateware files are organized on a per type-of-project basis. There are two different types of
projects for CONV-TTL-BLO gateware: the \textit{release project} and \textit{test projects}.
The release project is the latest production gateware version, that goes on the CONV-TTL-BLO
board used in the field. Test projects are meant to be downloaded to a CONV-TTL-BLO for
testing the CONV-TTL-BLO system under long-term test conditions. The projects present in the
repository at the time of writing of this document are presented in Table~\ref{tbl:fold-struct-proj}.
\begin{table}[h]
\caption{Gateware projects in the repository}
\label{tbl:fold-struct-proj}
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l p{.6\textwidth}}
\hline
\multicolumn{1}{c}{\textbf{Project}} & \multicolumn{1}{c}{\textbf{Description}} \\
\hline
\textit{conv\_ttl\_blo} & Design-wide release project to be used in the field \\
\textit{regtest} & Long-term test for testing the I$^2$C communication by writing
to a RAM on the FPGA \\
\textit{pulsetest} & Long-term test for testing pulse repetition on the CONV-TTL-BLO \\
\hline
\end{tabular}
}
\end{table}
The folder structure for the project is presented below.
\let \oldlabelitemi=\labelitemi
\let \oldlabelitemii=\labelitemii
\let \oldlabelitemiii=\labelitemiii
\let \oldlabelitemiv=\labelitemiv
\renewcommand{\labelitemi}{$\rightarrow$}
\renewcommand{\labelitemii}{$\rightarrow$}
\renewcommand{\labelitemiii}{$\rightarrow$}
\renewcommand{\labelitemiv}{$\rightarrow$}
\begin{itemize}
\item conv-ttl-blo-gw/
\begin{itemize}
\item doc/
\begin{itemize}
\item hdlguide/
\end{itemize}
\item ip\_cores/
\begin{itemize}
\item general-cores/
\end{itemize}
\item modules/
\begin{itemize}
\item Release/
\begin{itemize}
\item conv\_man\_trig.vhd
\item conv\_regs.vhd
\item conv\_regs.wb
\item conv\_pulse\_timetag.vhd
\item ctblo\_pulse\_gen.vhd
\end{itemize}
\item pulsetest/
\begin{itemize}
\item pulse\_gen\_gp.vhd
\item {[}...{]}
\end{itemize}
\item conv\_pulse\_gen.vhd
\item reset\_gen.vhd
\end{itemize}
\item sim/
\item syn/
\begin{itemize}
\item Release/
\item pulsetest/
\item regtest/
\end{itemize}
\item top/
\begin{itemize}
\item Release/
\begin{itemize}
\item conv\_ttl\_blo.ucf
\item conv\_ttl\_blo.vhd
\end{itemize}
\item pulsetest/
\begin{itemize}
\item pulsetest.ucf
\item pulsetest.vhd
\end{itemize}
\item regtest/
\begin{itemize}
\item regtest.ucf
\item regtest.vhd
\end{itemize}
\end{itemize}
\end{itemize}
\end{itemize}
\renewcommand{\labelitemi}{\oldlabelitemi}
\renewcommand{\labelitemii}{\oldlabelitemii}
\renewcommand{\labelitemiii}{\oldlabelitemiii}
\renewcommand{\labelitemiv}{\oldlabelitemiv}
\begin{table}[h]
\caption{Folder structure}
\label{tbl:fold-struct}
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l p{.7\textwidth}}
\hline
\multicolumn{1}{c}{\textbf{Folder}} & \multicolumn{1}{c}{\textbf{Description}} \\
\hline
doc/ & Documentation files \\
ip\_cores/ & IP cores used in the design \\
modules/ & Project-specific modules instantiated in the top-level design \newline
Organized on a project type basis \\
sim/ & Module simulation files \\
syn/ & ISE project file and synthesis output files, including binaries to
download to the FPGA \newline
Organized on a project type basis \\
top/ & Top-level .vhd and .ucf files \newline
Organized on a project type basis \\
\hline
\end{tabular}
}
\end{table}
As can be seen from the folder structure above, gateware files are organized in the
\textit{modules/}, \textit{syn/} and \textit{top/} folders following this project convention.
Files in these folders (where relevant) are organized in the \textit{Release/}, \textit{pulsetest/}
and \textit{regtest/} folders, where the \textit{Release/} folder of course represents the
release gateware and the other two are test projects, as their names suggest.
One place where the project structure is not necessarily enforced is the \textit{sim/} folder.
This folder is meant to contain files relevant for simulation of various modules within
the design and as such can be composed of folders named after the component to be simulated.
%======================================================================================
% SEC: Getting Around the Code
%======================================================================================
\pagebreak
\section{Getting around the code}
\label{sec:get-around}
%==============================================================================
% SEC: Pulse LED logic
%==============================================================================
\subsection{Pulse LED output logic}
\label{sec:pulse-led}
Ports and signals usually follow the coding guideline at~\cite{coding-guidelines}. Most of the
top-level ports of the gateware are lower-case versions of their schematics counterparts. The
exceptions from this are due to either net names that could not be syntactically represented in
VHDL, or net names that have been made clearer in VHDL code.
Since in the CONV-TTL-BLO schematics the pulse LEDs are driven from inverting
Schmitt triggers to ground, the active-high pulse LED output from \textit{conv\_common\_gw}
must be inverted prior to driving the Schmitt trigger. This is done via the pulse
LED logic (Figure~\ref{fig:pulse-led}).
\begin{figure}[h]
\centerline{\includegraphics[width=.6\textwidth]{fig/arch}}
\caption{VHDL architecture of the release gateware}
\label{fig:arch}
\centerline{\includegraphics[width=.7\textwidth]{fig/pulse-led}}
\caption{\label{fig:pulse-led} Pulse LED logic}
\end{figure}
Code in the top-level files is organized in code sections. A code section is a piece of code
pertaining to a certain part of the design, where component instantiations and input and
output port assignments are made. For example, there is a section pertaining to
pulse repetition, where there is a generate block to generate the logic necessary for pulse
repetition on each channel, including the pulse status LEDs.
The VHDL architecture of the top-level file of the release gateware is shown
in Figure~\ref{fig:arch}. Table~\ref{tbl:arch} lists the code sections of the
top-level file.
{
\rowcolors{2}{white}{gray!25}
\begin{longtable}{p{.25\textwidth} p{.65\textwidth}}
\caption{Code sections in the FPGA gateware}
\label{tbl:arch} \\
% FIRST HEADER %
\hline
\multicolumn{1}{c}{\textbf{Code section}} & \multicolumn{1}{c}{\textbf{Description}} \\
\hline
\endfirsthead
% OTHER HEADERS %
\hline
\multicolumn{1}{c}{\textbf{Code section}} & \multicolumn{1}{c}{\textbf{Description}} \\
\hline
\endhead
% FOOTERS %
\hline
\endfoot
% TABLE CONTENTS %
Reset logic & -- \textit{reset\_gen} instantiation \\
I$^2$C bridge & -- \textit{wb\_i2c\_bridge} instantiation \newline
-- logic for blinking the I2C bicolor LED on the front panel \newline
-- generate the CWDTO bit register \\
Converter boards
registers & -- \textit{conv\_regs} instantiation \newline
-- connect the switch lines to the SR \newline
-- connect the RTM detection lines to the SR \newline
-- implement the RST, RST\_UNLOCK and WRPRES bit registers \\
Channel logic & -- connect inputs to internal signals \newline
-- instantiation of the pulse time-tagging controller and
manual pulse trigger components\newline\newline
VHDL \textit{generate} statements then generate the
channel logic: \newline
-- glitch filters and selection between filtered and non-filtered
based on the glitch filter selection switch \newline
-- synchronization flip-flops on the input signals \newline
-- input pulse counter logic \newline
-- no signal detect block (Figure~\ref{fig:no-sig-detect}) \newline
-- \textit{conv\_pulse\_gen} instantiation \newline
-- pulse output connections \newline
-- process to light pulse LEDs on pulse output \\
MultiBoot logic & -- \textit{wb\_xil\_multiboot} instantiation \\
Status LEDs & -- \textit{bicolor\_led\_ctrl} instantiation \newline
-- connecting the \textit{led\_state\_i} input of the
component to the relevant control signals \\
\end{longtable}
} % end rowcolors
%==============================================================================
% Appendices
%==============================================================================
\pagebreak
\begin{appendices}
%==============================================================================
% APP: Memmap
% SEC: Bicolor LED logic
%==============================================================================
\section{Memory map}
\label{app:memmap}
Table~\ref{tbl:memmap} shows the complete memory map of the gateware. The
following sections list the memory map of each peripheral.
In order to convert address values to register index values for SNMP access,
the following formula should be used:
\begin{center}
$reg. index = \frac{addr}{4} + 1$
\end{center}
\begin{table}[h]
\caption{CONV-TTL-BLO memory map}
\label{tbl:memmap}
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l l l p{.4\textwidth}}
\hline
\multicolumn{1}{c}{\textbf{Peripheral}} & \multicolumn{2}{c}{\textbf{Address range}} & \multicolumn{1}{c}{\textbf{Description}} \\
\hline
Board registers & 0x000 & 0x020 & Coverter board registers \\
MultiBoot & 0x040 & 0x050 & MultiBoot module \\
Thermometer & 0x080 & 0x084 & Thermometer chip \\
\hline
\end{tabular}
}
\end{table}
%%------------------------------------------------------------------------------
%% SUBSEC: conv_regs
%%------------------------------------------------------------------------------
\include{conv-regs}
%------------------------------------------------------------------------------
% SUBSEC: MultiBoot
%------------------------------------------------------------------------------
\include{multiboot-regs}
%------------------------------------------------------------------------------
% SUBSEC: Thermo
%------------------------------------------------------------------------------
\subsection{Thermometer module}
\label{app:memmap-thermo}
\indent Base address: 0x080
\vspace*{11pt}
\centerline
{
\rowcolors{2}{white}{gray!25}
\begin{tabular}{l l l p{.5\textwidth}}
\hline
\textbf{Offset} & \textbf{Default} & \textbf{Name} & \textbf{Description} \\
\hline
0x00 & 0x00000000 & OWCSR & One-Wire Control and Status Register \\
0x04 & 0x00000004 & OWCDR & One-Wire Clock Divider Registers \\
\hline
\end{tabular}
}
\vspace*{11pt}
For details on the bits of the thermometer module access registers, see the
OneWire Master module's documentation~\cite{onewire-core}.
\subsection{Bicolor LED output logic}
\label{sec:bicolor-led}
Note that the OWCDR should be set accordingly for proper functioning of the
one-wire timings. The value for the current version of the gateware is
\verb-OWCDR = 0x00130063-.
The bicolor LED logic external to the \textit{conv\_common\_gw} takes the
bicolor LED outputs as well as specific control pins (such as, for example,
the I$^2$C LED drive pin, flashing four times on an I$^2$C transfer) and connects
them to the bicolor LEDs, adding multiplexer logic where needed to control the lighting
and color of the LED.
%------------------------------------------------------------------------------
\end{appendices}
%------------------------------------------------------------------------------
The way in which each LED is turned on is described in the CONV-TTL-BLO User Guide~\cite{conv-ttl-blo-ug}.
%==============================================================================
% Bibliography
......@@ -1116,5 +279,6 @@ one-wire timings. The value for the current version of the gateware is
\pagebreak
\bibliographystyle{ieeetr}
\bibliography{hdlg-conv-ttl-blo}
\addcontentsline{toc}{section}{References}
\end{document}
\subsection{MultiBoot controller}
\label{app:multiboot-regs}
Base address: 0x040
{
\rowcolors{2}{white}{gray!25}
\begin{longtable}{l l l p{.5\textwidth}}
\hline
\textbf{Offset} & \textbf{Reset} & \textbf{Name}
& \textbf{Description} \\
\hline
\endfirsthead
\hline
\hline
\endhead
\hline
\endfoot
0x0 & 0x00000000 & CR & Control Register\\
0x4 & 0x00000000 & SR & Status Register\\
0x8 & 0x00000000 & GBBAR & Golden Bitstream Base Address Register\\
0xc & 0x00000000 & MBBAR & MultiBoot Bitstream Base Address Register\\
0x10 & 0x10000000 & FAR & Flash Access Register\\
\end{longtable}
}
\vspace{11pt}
\subsubsection{CR -- Control Register}
\label{app:multiboot-regs-cr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}IPROG} & \multicolumn{1}{|c|}{\cellcolor{gray!25}IPROG\_UNLOCK}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{1}{|c}{-} & \multicolumn{1}{|c|}{\cellcolor{gray!25}RDCFGREG} & \multicolumn{6}{|c|}{\cellcolor{gray!25}CFGREGADR[5:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
CFGREGADR
} [\emph{read/write}]: Configuration register address
\\
Address of FPGA configuration register to read.
\end{small}
\item \begin{small}
{\bf
RDCFGREG
} [\emph{write-only}]: Read FPGA configuration register
\\
1 -- Start FPGA configuration register sequence. \\ 0 -- No effect.
\end{small}
\item \begin{small}
{\bf
IPROG\_UNLOCK
} [\emph{read/write}]: Unlock bit for the IPROG command
\\
1 -- Unlock IPROG bit. \\ 0 -- No effect.
\end{small}
\item \begin{small}
{\bf
IPROG
} [\emph{read/write}]: Start IPROG sequence
\\
1 -- Start IPROG configuration sequence \\ 0 -- No effect \\ This bit needs to be unlocked by writing the IPROG\_UNLOCK bit first. \\ A write to this bit with IPROG\_UNLOCK cleared has no effect.
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{SR -- Status Register}
\label{app:multiboot-regs-sr}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}WDTO} & \multicolumn{1}{|c|}{\cellcolor{gray!25}IMGVALID}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CFGREGIMG[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CFGREGIMG[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
CFGREGIMG
} [\emph{read-only}]: Configuration register image
\\
Image of the FPGA configuration register at address CFGREGADR (see Configuration Registers section in Xilinx UG380~\cite{ug380}); validated by IMGVALID bit
\end{small}
\item \begin{small}
{\bf
IMGVALID
} [\emph{read-only}]: Configuration register image valid
\\
1 -- CFGREGIMG valid \\ 0 -- CFGREGIMG not valid;
\end{small}
\item \begin{small}
{\bf
WDTO
} [\emph{read/write}]: MultiBoot FSM stalled at one point and was reset by FSM watchdog
\\
1 -- FSM watchdog fired \\ 0 -- FSM watchdog has not fired
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{GBBAR -- Golden Bitstream Base Address Register}
\label{app:multiboot-regs-gbbar}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
BITS
} [\emph{read/write}]: Bits of GBBAR register
\\
31..24 -- Read or fast-read OPCODE of the flash chip (obtain it from the flash chip datasheet) \\ 23..0 -- Golden bitstream address in flash
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{MBBAR -- MultiBoot Bitstream Base Address Register}
\label{app:multiboot-regs-mbbar}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}BITS[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
BITS
} [\emph{read/write}]: Bits of MBBAR register
\\
31..24 -- Read or fast-read OPCODE of the flash chip (obtain it from the flash chip datasheet) \\ 23..0 -- MultiBoot bitstream start address in flash
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
\vspace{11pt}
\subsubsection{FAR -- Flash Access Register}
\label{app:multiboot-regs-far}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}READY} & \multicolumn{1}{|c|}{\cellcolor{gray!25}CS} & \multicolumn{1}{|c|}{\cellcolor{gray!25}XFER} & \multicolumn{2}{|c|}{\cellcolor{gray!25}NBYTES[1:0]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}DATA[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}DATA[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}DATA[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
DATA
} [\emph{read/write}]: Flash data field
\\
23..16 -- DATA[2]; after an SPI transfer, this register contains the value of data byte 2 read from the flash \\ 15..8 -- DATA[1]; after an SPI transfer, this register contains the value of data byte 1 read from the flash \\ 7..0 -- DATA[0]; after an SPI transfer, this register contains the value of data byte 0 read from the flash
\end{small}
\item \begin{small}
{\bf
NBYTES
} [\emph{read/write}]: Number of DATA fields to send and receive in one transfer:
\\
0x0 -- Send 1 byte (DATA[0]) \\ 0x1 -- Send 2 bytes (DATA[0], DATA[1]) \\ 0x2 -- Send 3 bytes (DATA[0], DATA[1], DATA[2])
\end{small}
\item \begin{small}
{\bf
XFER
} [\emph{write-only}]: Start transfer to and from flash
\\
1 -- Start transfer \\ 0 -- Idle
\end{small}
\item \begin{small}
{\bf
CS
} [\emph{read/write}]: Chip select bit
\\
1 - Flash chip selected (CS pin low) \\ 0 - Flash chip not selected (CS pin is high)
\end{small}
\item \begin{small}
{\bf
READY
} [\emph{read-only}]: Flash access ready
\\
1 - Flash access completed \\ 0 - Flash access in progress
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment