Commit a7f5275f authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

changes to conv common gateware manual for new release--unfinished

parent a292ca9e
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
\noindent \rule{\textwidth}{.1cm} \noindent \rule{\textwidth}{.1cm}
\hfill August 29, 2014 \hfill 17 February 2017
\vspace*{3cm} \vspace*{3cm}
...@@ -24,7 +24,9 @@ ...@@ -24,7 +24,9 @@
%--------------------------------------------------------------- %---------------------------------------------------------------
% name % name
%--------------------------------------------------------------- %---------------------------------------------------------------
\noindent {\Large \textbf{Theodor-Adrian Stana (CERN/BE-CO-HT)}} \noindent {\Large \textbf{Theodor-Adrian Stana (CERN/BE-CO-HT)}}\\
\noindent {Last modified by \textit{Denia Bouhired-Ferrag (CERN/BE-CO-HT)}}\\
\noindent \rule{\textwidth}{.05cm} \noindent \rule{\textwidth}{.05cm}
......
...@@ -357,8 +357,14 @@ Figure~\ref{fig:pulse-cnt} presents the implementation of the pulse counters. ...@@ -357,8 +357,14 @@ Figure~\ref{fig:pulse-cnt} presents the implementation of the pulse counters.
When a pulse arrives on either the TTL or blocking side, it is resynchronized When a pulse arrives on either the TTL or blocking side, it is resynchronized
in the 20~MHz clock domain and passed through a rising edge detector. When in the 20~MHz clock domain and passed through a rising edge detector. When
a rising edge occurs on the pulse, the counter is incremented by one and stored a rising edge occurs on the pulse, the counter is incremented by one and stored
to the channel pulse counter register (CHxPCR -- see Appendix~\ref{app:conv-regs}) to the channel pulse counter register. There are two counters implemented separately for TTL
register. and BLO outputs of each channel (CHxTTLPCR and CHxBLOPCR -- see Appendix~\ref{app:conv-regs}).
Since the counters are incremented after the OR gate, the \textit{line\_front\_i} and \textit{line\_
rear\_i} are ANDed with the synched rising edge of the input line to determine whether the input
is a ttl or a blo signal. The corresponding counters are incremented accordingly. Figure~\ref{
fig:pulse-cnt} presents the implementation for the TTL case only, the same is duplicated for the
blocking counter.
The pulse counter register can be written via the \textit{conv\_regs} component as a The pulse counter register can be written via the \textit{conv\_regs} component as a
result of an I$^2$C write access to the register's address. result of an I$^2$C write access to the register's address.
...@@ -495,7 +501,15 @@ The FSM waits in each state indefinitely for a value to be input. ...@@ -495,7 +501,15 @@ The FSM waits in each state indefinitely for a value to be input.
\end{figure} \end{figure}
%------------------------------------------------------------------------------ %------------------------------------------------------------------------------
\subsection{Pulse generator} \subsection{Pulse generation and dynamic burst control}
For hardware v4 of the CONV-TTL-BLO in particular (CONV-TTL-RS485 boards outputs are not sensitive to repetition frequency), release 4 of the gateware includes a \textit{burst mode} capability. Pulses output from the (\textit{conv\_pulse\_gen}) block, Sec~\ref{subsec:pulse-gen}, are fed onto to the \textit{conv\_dyn\_burst\_ctrl}, Sec~\ref{subsec:burst-ctrl}, where frequencies are limited over time and not on a pulse by pulse basis.
Moreover, v4 boards, give the possibility to select pulse width at the output via a \textit{general-purpose switch}. In addition to that, and for backwards compatibility with v3 boards and earlier, a fixed width, fixed frequency output is also available on the output for legacy boards\footnotemark.\footnotetext{These boards cannot handle high frequencies purely because of their hardware design and in that case \textit{burst mode} is disabled.}
Figure~\ref{fig:pulse-out-sel} shows how the output is selected depending on whether \textit{burst\_en\_n\_i} is activated or not, and also on which pulse width is selected (options are for \textit{SHORT} and \textit{LONG} pulses).
\subsubsection{Pulse generator}
\label{subsec:pulse-gen} \label{subsec:pulse-gen}
%------------------------------------------------------------------------------ %------------------------------------------------------------------------------
...@@ -521,19 +535,51 @@ In this latter case, a finite-state machine (FSM) handles pulse generation and r ...@@ -521,19 +535,51 @@ In this latter case, a finite-state machine (FSM) handles pulse generation and r
Its general operation is shown in Figure~\ref{fig:pulse-gen-operation}. Its general operation is shown in Figure~\ref{fig:pulse-gen-operation}.
The operation of the pulse generator depends on whether the glitch filter is enabled. The operation of the pulse generator depends on whether the glitch filter is enabled.
If this is enabled, then the pulse is synchronized externally to the \textit{conv\_pulse\_gen} If this is enabled, then the pulse is synchronized externally to the \textit{conv\_pulse\_gen}
block and it triggers the FSM directly. Alternatively, if the glitch filter is disabled, the trigger block and it triggers the FSM directly. Alternatively, if the glitch filter is disabled, the
input starts the pulse (to avoid glitches on the leading edge) and then the pulse is synchronized and the trigger
FSM triggered to generate the fixed-width pulse. After the pulse width has been reached, the FSM resets input starts the pulse (to avoid glitches on the leading edge) and then the pulse is synchronized
and the
FSM triggered to generate the fixed-width pulse. After the pulse width has been reached, the FSM
resets
the input flip-flop and goes into the rejection state. the input flip-flop and goes into the rejection state.
If any pulses arrive either during the generation state, or the rejection state, the error output If any pulses arrive either during the generation state, or the rejection state, the error output
is set high for one clock cycle. is set high for one clock cycle. This type of error is identified as a \textit{FLIM\_ERR\_p},
because they are to do with the maximum allowed frequency being reached.
\begin{figure} \begin{figure}
\centerline{\includegraphics[width=\textwidth]{fig/pulse-gen-operation}} \centerline{\includegraphics[width=\textwidth]{fig/pulse-gen-operation}}
\caption{\label{fig:pulse-gen-operation} Pulse generator operation with \textit{g\_pgen\_fixed\_width = true}} \caption{\label{fig:pulse-gen-operation} Pulse generator operation with \textit{g\_pgen\_fixed\_width = true}}
\end{figure} \end{figure}
\subsubsection{Dynamic burst controller}
\label{subsec:burst-ctrl}
The dynamic burst control block emulates temperature rise when new pulses arrive, when the
temperature counter reaches a pre-set maximum value (corresponding to maximum temperature), the
burst controller starts missing
pulses. This means that the board needs to \textit{cool off} between pulses and therefore
implements rejection only as long as the temperature is above the maximum. As soon as recovery is
achieved (temperature is again below maximum) the board starts repeating again.
The time at which the rejection starts depends on the frequency of the pulses coming out of the
\textit{Pulse Generator} block. For high frequencies, temperature will rise quickly and pulses
are rejected earlier. The lower the frequency the longer are repetition times.
The information relating repetition frequency and repetition times is embedded inside the FPGA and is generated in pre-processing and fed as a generic to the entity. The values used for a given thermal model is an array of constant values input as the \textit{g\_temp\_decre\_step} generic.
They differ for the SHORT and LONG pulse implementations. Appendix~\ref{app:} shows how these values can be generated in pre-processing.
In terms of gateware implementation, the \textit{conv\_dyn\_burst\_ctrl}, module uses a finite
state machine (FSM) to handle transitions between pulse repetition and pulse rejection depending
on the \textit{temp\_rise} counter value.
The FSM is triggered by pulse signals \textit{pulse\_r\_edge\_p\_i} and \textit{pulse\_f\_edge\_p\_i}
that had been generated as a result of synchronisation in the \textit{Pulse Generator} block. The
FSM outputs a \textit{burst\_ctrl\_rst} signal as a select signal to a multiplexer. The block's output replicates the input or clears it depending on the status of the \textit{burst\_ctrl\_rst} signal.
An pulse is generated every time a pulse is missed. This is the \textit{frequency watchdog error}, \textit{FWDG\_ERR\_p}, which signifies that the board has started to miss pulses
%------------------------------------------------------------------------------ %------------------------------------------------------------------------------
\subsection{Pulse LED control} \subsection{Pulse LED control}
\label{subsec:pulse-led} \label{subsec:pulse-led}
...@@ -541,7 +587,7 @@ is set high for one clock cycle. ...@@ -541,7 +587,7 @@ is set high for one clock cycle.
A rising edge on the \textit{pulse\_o} signal from the \textit{conv\_pulse\_gen} A rising edge on the \textit{pulse\_o} signal from the \textit{conv\_pulse\_gen}
block generates a 26-ms active-high pulse signal on the \textit{led\_pulse\_o} port of block generates a 26-ms active-high pulse signal on the \textit{led\_pulse\_o} port of
\textit{conv\_common\_gw}. This port should be connected to the channel's pulse LED output. \textit{conv\_common\_gw}. This port should be connected to the channel's pulse LED output. The same logic is used to drive LEDs on the inverting channels.
%============================================================================== %==============================================================================
% SEC: Memory-mapped peripherals % SEC: Memory-mapped peripherals
...@@ -663,21 +709,28 @@ The \textit{xwb\_xil\_multiboot} module from the \textit{general-cores} library~ ...@@ -663,21 +709,28 @@ The \textit{xwb\_xil\_multiboot} module from the \textit{general-cores} library~
is used to provide MultiBoot functionality via I$^2$C. To find out more about the is used to provide MultiBoot functionality via I$^2$C. To find out more about the
module and how to use it, consult its documentation under the \textit{general-cores/doc/} folder. module and how to use it, consult its documentation under the \textit{general-cores/doc/} folder.
%============================================================================== %%==============================================================================
\subsection{One-wire master} \subsection{One-wire temperature}
\label{subsec:onewire} \label{subsec:onewire}
%============================================================================== %%==============================================================================
The \textit{conv\_common\_gw} used a one-wire interface module (\textit{gc\_ds182x\_interface}) to the one-wire ds182x thermometer chip present on the board.
Converter boards are expected to contain a DS18B20 thermometer chip~\cite{ds18b20}, The module requires the thermometer input \textit{thermometer\_b}, directly from the board plus a pps (pulse-per-second) signal (generated by \textit{wf\_decr\_counter} component). This interface outputs the chip unique ID as a 64-bit value, and the board temperature as a 16-bit value. These 2 values result in 3 memory mapped registers, 2, 32-bit registers for the chip ID, and 1 for the temperature.
which can be used for monitoring the temperature of, and obtaining a unique .
identifier for the board. %%==============================================================================
%\subsection{One-wire master}
The one-wire master module provides two registers for software control of the module. %\label{subsec:onewire}
Note that the FPGA does not control the one-wire thermometer lines in any way. %%==============================================================================
Accessing the thermometer is done through software only. %
%Converter boards are expected to contain a DS18B20 thermometer chip~\cite{ds18b20},
More details about how to access the one-wire master module can be found in its %which can be used for monitoring the temperature of, and obtaining a unique
documentation~\cite{onewire-core}. %identifier for the board.
%
%The one-wire master module provides two registers for software control of the module.
%Note that the FPGA does not control the one-wire thermometer lines in any way.
%Accessing the thermometer is done through software only.
%
%More details about how to access the one-wire master module can be found in its
%documentation~\cite{onewire-core}.
%============================================================================== %==============================================================================
% Appendices % Appendices
...@@ -711,7 +764,7 @@ The following sections list the memory map of each peripheral. ...@@ -711,7 +764,7 @@ The following sections list the memory map of each peripheral.
\hline \hline
Board registers & 0x000 & 0x0ff & Coverter board registers \\ Board registers & 0x000 & 0x0ff & Coverter board registers \\
MultiBoot & 0x100 & 0x11f & MultiBoot module \\ MultiBoot & 0x100 & 0x11f & MultiBoot module \\
One-wire master & 0x200 & 0x2ff & One-wire master for DS18B20 thermometer module \\ %One-wire master & 0x200 & 0x2ff & One-wire master for DS18B20 thermometer module \\
SDB descriptor & 0xf00 & 0xfff & SDB descriptor (see~\cite{sdb}) \\ SDB descriptor & 0xf00 & 0xfff & SDB descriptor (see~\cite{sdb}) \\
\hline \hline
\end{tabular} \end{tabular}
...@@ -731,34 +784,34 @@ The following sections list the memory map of each peripheral. ...@@ -731,34 +784,34 @@ The following sections list the memory map of each peripheral.
%------------------------------------------------------------------------------ %------------------------------------------------------------------------------
% SUBSEC: Thermo % SUBSEC: Thermo
%------------------------------------------------------------------------------ %------------------------------------------------------------------------------
\subsection{Thermometer module} %\subsection{Thermometer module}
\label{app:memmap-thermo} %\label{app:memmap-thermo}
%
\indent Base address: 0x200 %\indent Base address: 0x200
%
\vspace*{11pt} %\vspace*{11pt}
%
\centerline %\centerline
{ %{
\rowcolors{2}{white}{gray!25} %\rowcolors{2}{white}{gray!25}
\begin{tabular}{l l l p{.5\textwidth}} %\begin{tabular}{l l l p{.5\textwidth}}
\hline %\hline
\textbf{Offset} & \textbf{Default} & \textbf{Name} & \textbf{Description} \\ %\textbf{Offset} & \textbf{Default} & \textbf{Name} & \textbf{Description} \\
\hline %\hline
0x00 & 0x00000000 & OWCSR & One-Wire Control and Status Register \\ %0x00 & 0x00000000 & OWCSR & One-Wire Control and Status Register \\
0x04 & 0x00000004 & OWCDR & One-Wire Clock Divider Registers \\ %0x04 & 0x00000004 & OWCDR & One-Wire Clock Divider Registers \\
\hline %\hline
\end{tabular} %\end{tabular}
} %}
%
\vspace*{11pt} %\vspace*{11pt}
%
For details on the bits of the thermometer module access registers, see the %For details on the bits of the thermometer module access registers, see the
OneWire Master module's documentation~\cite{onewire-core}. %OneWire Master module's documentation~\cite{onewire-core}.
%
Note that the OWCDR should be set accordingly for proper functioning of the %Note that the OWCDR should be set accordingly for proper functioning of the
one-wire timings. The value for the current version of the gateware is %one-wire timings. The value for the current version of the gateware is
\verb-OWCDR = 0x00130063-. %\verb-OWCDR = 0x00130063-.
%============================================================================== %==============================================================================
% APP: Changing the code for more than six pulse repetition channels % APP: Changing the code for more than six pulse repetition channels
......
...@@ -17,54 +17,69 @@ Base address: 0x000 ...@@ -17,54 +17,69 @@ Base address: 0x000
\endhead \endhead
\hline \hline
\endfoot \endfoot
0x0 & \textit{g\_board\_id} & BIDR & Board ID Register\\ 0x0& 0x54424c4f & BIDR & Board ID Register\\
0x4 & (1) & SR & Status Register\\ 0x4& Note(1)& SR & Status Register\\
0x8 & 0x00000000 & CR & Control Register\\ 0x8& 0x00000000 & ERR & Error Register\\
0xc & 0x00000000 & CH1PCR & Channel 1 Pulse Counter Register\\ 0xc& 0x00000000 & CR & Control Register\\
0x10 & 0x00000000 & CH2PCR & Channel 2 Pulse Counter Register\\ 0x10& 0x00000000 & CH1TTLPCR & Channel 1 TTL Pulse Counter Register\\
0x14 & 0x00000000 & CH3PCR & Channel 3 Pulse Counter Register\\ 0x14& 0x00000000 & CH2TTLPCR & Channel 2 TTL Pulse Counter Register\\
0x18 & 0x00000000 & CH4PCR & Channel 4 Pulse Counter Register\\ 0x18& 0x00000000 & CH3TTLPCR & Channel 3 TTL Pulse Counter Register\\
0x1c & 0x00000000 & CH5PCR & Channel 5 Pulse Counter Register\\ 0x1c& 0x00000000 & CH4TTLPCR & Channel 4 TTL Pulse Counter Register\\
0x20 & 0x00000000 & CH6PCR & Channel 6 Pulse Counter Register\\ 0x20& 0x00000000 & CH5TTLPCR & Channel 5 TTL Pulse Counter Register\\
0x24 & 0x00000000 & TVLR & Time Value Low Register\\ 0x24& 0x00000000 & CH6TTLPCR & Channel 6 TTL Pulse Counter Register\\
0x28 & 0x00000000 & TVHR & Time Value High Register\\ 0x28& 0x00000000 & CH1BLOPCR & Channel 1 BLO Pulse Counter Register\\
0x2c & 0x00000000 & TBMR & Tag Buffer Meta Register\\ 0x2c& 0x00000000 & CH2BLOPCR & Channel 2 BLO Pulse Counter Register\\
0x30 & 0x00000000 & TBCYR & Tag Buffer Cycles Register\\ 0x30& 0x00000000 & CH3BLOPCR & Channel 3 BLO Pulse Counter Register\\
0x34 & 0x00000000 & TBTLR & Tag Buffer TAI Low Register\\ 0x34& 0x00000000 & CH4BLOPCR & Channel 4 BLO Pulse Counter Register\\
0x38 & 0x00000000 & TBTHR & Tag Buffer TAI High Register\\ 0x38& 0x00000000 & CH5BLOPCR & Channel 5 BLO Pulse Counter Register\\
0x3c & 0x00020000 & TBCSR & Tag Buffer Control and Status Register\\ 0x3c& 0x00000000 & CH6BLOPCR & Channel 6 BLO Pulse Counter Register\\
0x40 & 0x00000000 & CH1LTSCYR & Channel 1 Latest Timestamp Cycles Register\\ 0x40& 0x00000000 & TVLR & Time Value Low Register\\
0x44 & 0x00000000 & CH1LTSTLR & Channel 1 Latest Timestamp TAI Low Register\\ 0x44& 0x00000000 & TVHR & Time Value High Register\\
0x48 & 0x00000000 & CH1LTSTHR & Channel 1 Latest Timestamp TAI High Register\\ 0x48& 0x00000000 & TBMR & Tag Buffer Meta Register\\
0x4c & 0x00000000 & CH2LTSCYR & Channel 2 Latest Timestamp Cycles Register\\ 0x4c& 0x00000000 & TBCYR & Tag Buffer Cycles Register\\
0x50 & 0x00000000 & CH2LTSTLR & Channel 2 Latest Timestamp TAI Low Register\\ 0x50& 0x00000000 & TBTLR & Tag Buffer TAI Low Register\\
0x54 & 0x00000000 & CH2LTSTHR & Channel 2 Latest Timestamp TAI High Register\\ 0x54& 0x00000000 & TBTHR & Tag Buffer TAI High Register\\
0x58 & 0x00000000 & CH3LTSCYR & Channel 3 Latest Timestamp Cycles Register\\ 0x58& 0x00020000 & TBCSR & Tag Buffer Control and Status Register\\
0x5c & 0x00000000 & CH3LTSTLR & Channel 3 Latest Timestamp TAI Low Register\\ 0x5c& 0x00000000 & CH1LTSCYR & Channel 1 Latest Timestamp Cycles Register\\
0x60 & 0x00000000 & CH3LTSTHR & Channel 3 Latest Timestamp TAI High Register\\ 0x60& 0x00000000 & CH1LTSTLR & Channel 1 Latest Timestamp TAI Low Register\\
0x64 & 0x00000000 & CH4LTSCYR & Channel 4 Latest Timestamp Cycles Register\\ 0x64& 0x00000000 & CH1LTSTHR & Channel 1 Latest Timestamp TAI High Register\\
0x68 & 0x00000000 & CH4LTSTLR & Channel 4 Latest Timestamp TAI Low Register\\ 0x68& 0x00000000 & CH2LTSCYR & Channel 2 Latest Timestamp Cycles Register\\
0x6c & 0x00000000 & CH4LTSTHR & Channel 4 Latest Timestamp TAI High Register\\ 0x6c& 0x00000000 & CH2LTSTLR & Channel 2 Latest Timestamp TAI Low Register\\
0x70 & 0x00000000 & CH5LTSCYR & Channel 5 Latest Timestamp Cycles Register\\ 0x70& 0x00000000 & CH2LTSTHR & Channel 2 Latest Timestamp TAI High Register\\
0x74 & 0x00000000 & CH5LTSTLR & Channel 5 Latest Timestamp TAI Low Register\\ 0x74& 0x00000000 & CH3LTSCYR & Channel 3 Latest Timestamp Cycles Register\\
0x78 & 0x00000000 & CH5LTSTHR & Channel 5 Latest Timestamp TAI High Register\\ 0x78& 0x00000000 & CH3LTSTLR & Channel 3 Latest Timestamp TAI Low Register\\
0x7c & 0x00000000 & CH6LTSCYR & Channel 6 Latest Timestamp Cycles Register\\ 0x7c& 0x00000000 & CH3LTSTHR & Channel 3 Latest Timestamp TAI High Register\\
0x80 & 0x00000000 & CH6LTSTLR & Channel 6 Latest Timestamp TAI Low Register\\ 0x80& 0x00000000 & CH4LTSCYR & Channel 4 Latest Timestamp Cycles Register\\
0x84 & 0x00000000 & CH6LTSTHR & Channel 6 Latest Timestamp TAI High Register\\ 0x84& 0x00000000 & CH4LTSTLR & Channel 4 Latest Timestamp TAI Low Register\\
0x88 & (2) & LSR & Line Status Register\\ 0x88& 0x00000000 & CH4LTSTHR & Channel 4 Latest Timestamp TAI High Register\\
0x8c & 0x00000000 & OSWR & Other Switches Register\\ 0x8c& 0x00000000 & CH5LTSCYR & Channel 5 Latest Timestamp Cycles Register\\
0x90& 0x00000000 & CH5LTSTLR & Channel 5 Latest Timestamp TAI Low Register\\
0x94& 0x00000000 & CH5LTSTHR & Channel 5 Latest Timestamp TAI High Register\\
0x98& 0x00000000 & CH6LTSCYR & Channel 6 Latest Timestamp Cycles Register\\
0x9c& 0x00000000 & CH6LTSTLR & Channel 6 Latest Timestamp TAI Low Register\\
0xa0& 0x00000000 & CH6LTSTHR & Channel 6 Latest Timestamp TAI High Register\\
0xa4& Note(2) & LSR & Line Status Register\\
0xa8& 0x00000000 & OSWR & Other switch resistor\\
0xac& Unique ID & UIDLR & Thermometer ID Low register\\
0xb0& Unique ID & UIDHR & Thermometer ID High register\\
0xb4& 0x00000000 & TEMPR & Board Temperature Register\\
\hline
\end{longtable} \end{longtable}
} }
\noindent Note (1): The reset value of the SR cannot be specified, since it is based on the \noindent Note (1): The reset value of the SR cannot be specified, since it is based on the
gateware version, the state of the on-board switches and whether an RTM is plugged in or not. gateware version, the state of the on-board switches and whether an RTM is plugged in or not.
\noindent Note (2): The reset value of the LSR cannot be specified, since it depends on whether a cable \noindent Note (2): The reset value of the LSR cannot be specified, since it depends on whether a cable
is plugged into the channel or not. is plugged into the channel or not.
\vspace{11pt} \vspace{11pt}
\subsubsection{BIDR -- Board ID Register} \subsubsection{BIDR -- Board ID Register}
\label{app:conv-regs-bidr} \label{app:conv-regs-BIDR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -95,27 +110,30 @@ is plugged into the channel or not. ...@@ -95,27 +110,30 @@ is plugged into the channel or not.
BIDR BIDR
} [\emph{read-only}]: ID register bits } [\emph{read-only}]: ID register bits
\\ \\
Reset value: \textit{g\_board\_id} Reset value: 0x54424c4f
\end{small} \end{small}
\item \begin{small} \item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined \textbf{Unimplemented bits}: write as '0', read undefined
\end{small} \end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \vspace{11pt}
\subsubsection{SR -- Status Register} \subsubsection{SR -- Status Register}
\label{app:conv-regs-sr} \label{app:conv-regs-SR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{1}{|c}{-} & \multicolumn{6}{|c|}{\cellcolor{gray!25}PMISSE[5:0]} & \multicolumn{1}{|c|}{\cellcolor{gray!25}I2C\_ERR}\\ \multicolumn{1}{|c}{-} & - & - & \multicolumn{1}{|c|}{\cellcolor{gray!25}WRPRES} & \multicolumn{4}{|c|}{\cellcolor{gray!25}HWVERS[5:2]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{1}{|c|}{\cellcolor{gray!25}WRPRES} & \multicolumn{1}{|c|}{\cellcolor{gray!25}I2C\_WDTO} & \multicolumn{6}{|c|}{\cellcolor{gray!25}RTM[5:0]}\\ \multicolumn{2}{|c|}{\cellcolor{gray!25}HWVERS[1:0]} & \multicolumn{6}{|c|}{\cellcolor{gray!25}RTM[5:0]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
...@@ -141,21 +159,21 @@ Leftmost nibble hex value is major release decimal value \\ ...@@ -141,21 +159,21 @@ Leftmost nibble hex value is major release decimal value \\
SWITCHES SWITCHES
} [\emph{read-only}]: Status of on-board general-purpose switches } [\emph{read-only}]: Status of on-board general-purpose switches
\\ \\
1 -- switch is ON \\ 0 -- switch is OFF Eg: SW1.1-- SR.SWITCHES[0] \\ SW1.2-- SR.SWITCHES[1] \\ SW2.1-- SR.SWITCHES[4] \\ SW2.4-- SR.SWITCHES[7] \\ 1 -- switch is ON \\ 0 -- switch is OFF
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
RTM RTM
} [\emph{read-only}]: RTM detection lines~\cite{rtm-det} } [\emph{read-only}]: RTM detection lines cite{rtm-det}
\\ \\
1 -- line active \\ 0 -- line inactive 1 bit per RTM output channel \\ 1 -- line active \\ 0 -- line inactive
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
I2C\_WDTO HWVERS
} [\emph{read/write}]: I2C communication watchdog timeout error } [\emph{read-only}]: Hardware version
\\ \\
1 -- timeout occured \\ 0 -- no timeout \\ This bit can be cleared by writing a '1' to it PCB version - Hardwired on the board \\ Only meaningful for HW v4.0 and over \\ Earlier versions show 0. The register \\ uses 4 bits for the version number and\\ 2 bits for the execution.\\ e.g. \\ 0x010001 -- hw v4.1 \\ 0x010111 -- hw v5.3 \\ 0x00-- hw v3 and earlier
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
...@@ -164,6 +182,42 @@ WRPRES ...@@ -164,6 +182,42 @@ WRPRES
\\ \\
1 -- White Rabbit present \\ 0 -- White Rabbit not present 1 -- White Rabbit present \\ 0 -- White Rabbit not present
\end{small} \end{small}
\end{itemize}
\subsubsection{ERR - Error Register}
\label{app:conv-regs-ERR}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{1}{|c}{-} & - & \multicolumn{6}{|c|}{\cellcolor{gray!25}FWDG\_PMISSE[5:0]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{6}{|c|}{\cellcolor{gray!25}FLIM\_PMISSE[5:0]} & \multicolumn{1}{|c|}{\cellcolor{gray!25}I2C\_ERR} & \multicolumn{1}{|c|}{\cellcolor{gray!25}I2C\_WDTO}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
I2C\_WDTO
} [\emph{read/write}]: I2C communication watchdog timeout error
\\
1 -- timeout occured \\ 0 -- no timeout \\ This bit can be cleared by writing a '1' to it
\end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
I2C\_ERR I2C\_ERR
...@@ -173,19 +227,21 @@ I2C\_ERR ...@@ -173,19 +227,21 @@ I2C\_ERR
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
PMISSE FLIM\_PMISSE
} [\emph{read/write}]: Pulse missed error } [\emph{read/write}]: Frequency error
\\ \\
1 -- pulse arrived during pulse rejection phase \\ 0 -- idle \\ Bit 0 -- channel 1 \\ Bit 1 -- channel 2 \\ etc. \\ Each bit can be cleared by writing a '1' to it 1 -- Input above maximum supported frequency \\ 0 -- idle \\ Bit 0 -- channel 1 \\ Bit 1 -- channel 2 \\ etc. \\ Each bit can be cleared by writing a '1' to it
\end{small} \end{small}
\item \begin{small} \item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined {\bf
FWDG\_PMISSE
} [\emph{read/write}]: Frequency watchdog error
\\
1 -- Pulse over maximum pulse count for given frequency' \\ 0 -- idle \\ Bit 0 -- channel 1 \\ Bit 1 -- channel 2 \\ etc. \\ Each bit can be cleared by writing a '1' to it
\end{small} \end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CR - Control Register}
\subsubsection{CR -- Control Register} \label{app:conv-regs-CR}
\label{app:conv-regs-cr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -220,7 +276,7 @@ RST\_UNLOCK ...@@ -220,7 +276,7 @@ RST\_UNLOCK
\item \begin{small} \item \begin{small}
{\bf {\bf
RST RST
} [\emph{read/write}]: Reset bit } [\emph{read/write}]: Reset bit - active only if RST_UNLOCK is 1
\\ \\
1 -- initiate logic reset \\ 0 -- no reset 1 -- initiate logic reset \\ 0 -- no reset
\end{small} \end{small}
...@@ -235,29 +291,28 @@ Write the following sequence to trigger a pulse: \\ 0xde -- ...@@ -235,29 +291,28 @@ Write the following sequence to trigger a pulse: \\ 0xde --
\textbf{Unimplemented bits}: write as '0', read undefined \textbf{Unimplemented bits}: write as '0', read undefined
\end{small} \end{small}
\end{itemize} \end{itemize}
\vspace{11pt}
\subsubsection{CH1PCR -- Channel 1 Pulse Counter Register}
\label{app:conv-regs-ch1pcr}
\subsubsection{CH1TTLPCR - Channel 1 Pulse Counter Register for TTL pulses}
\label{app:conv-regs-CH1TTLPCR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1PCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH1TTLPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1PCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH1TTLPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1PCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH1TTLPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1PCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH1TTLPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -265,16 +320,14 @@ Write the following sequence to trigger a pulse: \\ 0xde -- ...@@ -265,16 +320,14 @@ Write the following sequence to trigger a pulse: \\ 0xde --
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH1PCR CH1TTLPCR
} [\emph{read/write}]: Pulse counter value } [\emph{read/write}]: TTL pulse counter value
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small} \end{small}
\end{itemize} \end{itemize}
\vspace{11pt}
\subsubsection{CH2PCR -- Channel 2 Pulse Counter Register}
\label{app:conv-regs-ch2pcr} \subsubsection{CH2TTLPCR - Channel 2 Pulse Counter Register for TTL pulses}
\label{app:conv-regs-CH2TTLPCR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -282,19 +335,19 @@ CH1PCR ...@@ -282,19 +335,19 @@ CH1PCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2PCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2TTLPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2PCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2TTLPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2PCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2TTLPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2PCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2TTLPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -302,16 +355,46 @@ CH1PCR ...@@ -302,16 +355,46 @@ CH1PCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH2PCR CH2TTLPCR
} [\emph{read/write}]: Pulse counter value } [\emph{read/write}]: TTL pulse counter value
\end{small} \end{small}
\end{itemize}
\subsubsection{CH3TTLPCR - Channel 3 Pulse Counter Register for TTL pulses}
\label{app:conv-regs-CH3TTLPCR}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3TTLPCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3TTLPCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3TTLPCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3TTLPCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small} \item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined {\bf
CH3TTLPCR
} [\emph{read/write}]: TTL pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\vspace{11pt}
\subsubsection{CH3PCR -- Channel 3 Pulse Counter Register}
\label{app:conv-regs-ch3pcr} \subsubsection{CH4TTLPCR - Channel 4 Pulse Counter Register for TTL pulses}
\label{app:conv-regs-CH4TTLPCR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -319,19 +402,19 @@ CH2PCR ...@@ -319,19 +402,19 @@ CH2PCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3PCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4TTLPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3PCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4TTLPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3PCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4TTLPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3PCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4TTLPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -339,16 +422,46 @@ CH2PCR ...@@ -339,16 +422,46 @@ CH2PCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH3PCR CH4TTLPCR
} [\emph{read/write}]: Pulse counter value } [\emph{read/write}]: TTL pulse counter value
\end{small} \end{small}
\end{itemize}
\subsubsection{CH5TTLPCR - Channel 5 Pulse Counter Register for TTL pulses}
\label{app:conv-regs-CH5TTLPCR}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5TTLPCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5TTLPCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5TTLPCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5TTLPCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small} \item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined {\bf
CH5TTLPCR
} [\emph{read/write}]: TTL pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH6TTLPCR - Channel 6 Pulse Counter Register for TTL pulses}
\subsubsection{CH4PCR -- Channel 4 Pulse Counter Register} \label{app:conv-regs-CH6TTLPCR}
\label{app:conv-regs-ch4pcr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -356,19 +469,19 @@ CH3PCR ...@@ -356,19 +469,19 @@ CH3PCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4PCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH6TTLPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4PCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH6TTLPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4PCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH6TTLPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4PCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH6TTLPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -376,16 +489,45 @@ CH3PCR ...@@ -376,16 +489,45 @@ CH3PCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH4PCR CH6TTLPCR
} [\emph{read/write}]: Pulse counter value } [\emph{read/write}]: TTL pulse counter value
\end{small} \end{small}
\end{itemize}
\subsubsection{CH1BLOPCR - Channel 1 Pulse Counter Register for BLO pulses}
\label{app:conv-regs-CH1BLOPCR}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1BLOPCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1BLOPCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1BLOPCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1BLOPCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small} \item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined {\bf
CH1BLOPCR
} [\emph{read/write}]: BLO pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH2BLOPCR - Channel 2 Pulse Counter Register for BLO pulses}
\subsubsection{CH5PCR -- Channel 5 Pulse Counter Register} \label{app:conv-regs-CH2BLOPCR}
\label{app:conv-regs-ch5pcr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -393,19 +535,19 @@ CH4PCR ...@@ -393,19 +535,19 @@ CH4PCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5PCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2BLOPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5PCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2BLOPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5PCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2BLOPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5PCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2BLOPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -413,16 +555,46 @@ CH4PCR ...@@ -413,16 +555,46 @@ CH4PCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH5PCR CH2BLOPCR
} [\emph{read/write}]: Pulse counter value } [\emph{read/write}]: BLO pulse counter value
\end{small} \end{small}
\end{itemize}
\subsubsection{CH3BLOPCR - Channel 3 Pulse Counter Register for BLO pulses}
\label{app:conv-regs-CH3BLOPCR}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3BLOPCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3BLOPCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3BLOPCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3BLOPCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small} \item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined {\bf
CH3BLOPCR
} [\emph{read/write}]: BLO pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH4BLOPCR - Channel 4 Pulse Counter Register for BLO pulses}
\subsubsection{CH6PCR -- Channel 6 Pulse Counter Register} \label{app:conv-regs-CH4BLOPCR}
\label{app:conv-regs-ch6pcr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -430,19 +602,19 @@ CH5PCR ...@@ -430,19 +602,19 @@ CH5PCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6PCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4BLOPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6PCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4BLOPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6PCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4BLOPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6PCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4BLOPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -450,16 +622,80 @@ CH5PCR ...@@ -450,16 +622,80 @@ CH5PCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH6PCR CH4BLOPCR
} [\emph{read/write}]: Pulse counter value } [\emph{read/write}]: BLO pulse counter value
\end{small} \end{small}
\end{itemize}
\subsubsection{CH5BLOPCR - Channel 5 Pulse Counter Register for BLO pulses}
\label{app:conv-regs-CH5BLOPCR}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5BLOPCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5BLOPCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5BLOPCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5BLOPCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small} \item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined {\bf
CH5BLOPCR
} [\emph{read/write}]: BLO pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\subsubsection{CH6BLOPCR - Channel 6 Pulse Counter Register for BLO pulses}
\label{app:conv-regs-CH6BLOPCR}
\vspace{11pt} \vspace{11pt}
\subsubsection{TVLR -- Time Value Low Register} \noindent
\label{app:conv-regs-tvlr} \resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6BLOPCR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6BLOPCR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6BLOPCR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6BLOPCR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
CH6BLOPCR
} [\emph{read/write}]: BLO pulse counter value
\end{small}
\end{itemize}
\subsubsection{TVLR - Time Value Low Register}
\label{app:conv-regs-TVLR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -492,13 +728,11 @@ TVLR ...@@ -492,13 +728,11 @@ TVLR
\\ \\
Writing this field resets the internal cycles counter. Writing this field resets the internal cycles counter.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{TVHR - Time Value High Register}
\subsubsection{TVHR -- Time Value High Register} \label{app:conv-regs-TVHR}
\label{app:conv-regs-tvhr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -531,14 +765,9 @@ TVHR ...@@ -531,14 +765,9 @@ TVHR
\\ \\
Writing this field resets the internal cycles counter. Writing this field resets the internal cycles counter.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{TBMR - Tag Buffer Meta Register}
\subsubsection{TBMR -- Tag Buffer Meta Register} \label{app:conv-regs-TBMR}
\label{app:conv-regs-tbmr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -577,16 +806,9 @@ WRTAG ...@@ -577,16 +806,9 @@ WRTAG
\\ \\
1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter 1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\item \begin{small}
\textbf{A read from this register advances the buffer read pointer, if the ring buffer is not empty}
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{TBCYR - Tag Buffer Cycles Register}
\subsubsection{TBCYR -- Tag Buffer Cycles Register} \label{app:conv-regs-TBCYR}
\label{app:conv-regs-tbcyr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -619,13 +841,11 @@ TBCYR ...@@ -619,13 +841,11 @@ TBCYR
\\ \\
Value of the 8-ns cycles counter when time tag was taken. Value of the 8-ns cycles counter when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{TBTLR - Tag Buffer TAI Low Register}
\subsubsection{TBTLR -- Tag Buffer TAI Low Register} \label{app:conv-regs-TBTLR}
\label{app:conv-regs-tbtlr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -658,14 +878,10 @@ TBTLR ...@@ -658,14 +878,10 @@ TBTLR
\\ \\
Value of the TAI seconds counter bits 31..0 when time tag was taken. Value of the TAI seconds counter bits 31..0 when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\pagebreak \subsubsection{TBTHR - Tag Buffer TAI High Register}
\vspace{11pt} \label{app:conv-regs-TBTHR}
\subsubsection{TBTHR -- Tag Buffer TAI High Register}
\label{app:conv-regs-tbthr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -698,14 +914,9 @@ TBTHR ...@@ -698,14 +914,9 @@ TBTHR
\\ \\
Value of the TAI seconds counter bits 39..32 when time tag was taken. Value of the TAI seconds counter bits 39..32 when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{TBCSR - Tag Buffer Control and Status Register}
\subsubsection{TBCSR -- Tag Buffer Control and Status Register} \label{app:conv-regs-TBCSR}
\label{app:conv-regs-tbcsr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -758,14 +969,9 @@ CLR ...@@ -758,14 +969,9 @@ CLR
\\ \\
1 -- clear\\ 0 -- no effect 1 -- clear\\ 0 -- no effect
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH1LTSCYR - Channel 1 Latest Timestamp Cycles Register}
\subsubsection{CH1LTSCYR -- Channel 1 Latest Timestamp Cycles Register} \label{app:conv-regs-CH1LTSCYR}
\label{app:conv-regs-ch1ltscyr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -793,17 +999,14 @@ CLR ...@@ -793,17 +999,14 @@ CLR
\item \begin{small} \item \begin{small}
{\bf {\bf
CH1LTSCYR CH1LTSCYR
} [\emph{write-only}]: Cycles counter } [\emph{read-only}]: Cycles counter
\\ \\
Value of the 8-ns cycles counter when time tag was taken. Value of the 8-ns cycles counter when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH1LTSTLR - Channel 1 Latest Timestamp TAI Low Register}
\subsubsection{CH1LTSTLR -- Channel 1 Latest Timestamp TAI Low Register} \label{app:conv-regs-CH1LTSTLR}
\label{app:conv-regs-ch1ltstlr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -836,15 +1039,10 @@ CH1LTSTLR ...@@ -836,15 +1039,10 @@ CH1LTSTLR
\\ \\
Value of the TAI seconds counter bits 31..0 when time tag was taken. Value of the TAI seconds counter bits 31..0 when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH1LTSTHR - Channel 1 Latest Timestamp TAI High Register}
\label{app:conv-regs-CH1LTSTHR}
\pagebreak
\subsubsection{CH1LTSTHR -- Channel 1 Latest Timestamp TAI High Register}
\label{app:conv-regs-ch1ltsthr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -884,14 +1082,9 @@ WRTAG ...@@ -884,14 +1082,9 @@ WRTAG
\\ \\
1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter 1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH2LTSCYR - Channel 2 Latest Timestamp Cycles Register}
\subsubsection{CH2LTSCYR -- Channel 2 Latest Timestamp Cycles Register} \label{app:conv-regs-CH2LTSCYR}
\label{app:conv-regs-ch2ltscyr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -919,18 +1112,13 @@ WRTAG ...@@ -919,18 +1112,13 @@ WRTAG
\item \begin{small} \item \begin{small}
{\bf {\bf
CH2LTSCYR CH2LTSCYR
} [\emph{write-only}]: Cycles counter } [\emph{read-only}]: Cycles counter
\\ \\
Value of the 8-ns cycles counter when time tag was taken. Value of the 8-ns cycles counter when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH2LTSTLR - Channel 2 Latest Timestamp TAI Low Register}
\subsubsection{CH2LTSTLR -- Channel 2 Latest Timestamp TAI Low Register} \label{app:conv-regs-CH2LTSTLR}
\label{app:conv-regs-ch2ltstlr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -962,14 +1150,9 @@ CH2LTSTLR ...@@ -962,14 +1150,9 @@ CH2LTSTLR
\\ \\
Value of the TAI seconds counter bits 31..0 when time tag was taken. Value of the TAI seconds counter bits 31..0 when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH2LTSTHR - Channel 2 Latest Timestamp TAI High Register}
\subsubsection{CH2LTSTHR -- Channel 2 Latest Timestamp TAI High Register} \label{app:conv-regs-CH2LTSTHR}
\label{app:conv-regs-ch2ltsthr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1008,14 +1191,9 @@ WRTAG ...@@ -1008,14 +1191,9 @@ WRTAG
\\ \\
1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter 1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH3LTSCYR - Channel 3 Latest Timestamp Cycles Register}
\subsubsection{CH3LTSCYR -- Channel 3 Latest Timestamp Cycles Register} \label{app:conv-regs-CH3LTSCYR}
\label{app:conv-regs-ch3ltscyr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1043,18 +1221,13 @@ WRTAG ...@@ -1043,18 +1221,13 @@ WRTAG
\item \begin{small} \item \begin{small}
{\bf {\bf
CH3LTSCYR CH3LTSCYR
} [\emph{write-only}]: Cycles counter } [\emph{read-only}]: Cycles counter
\\ \\
Value of the 8-ns cycles counter when time tag was taken. Value of the 8-ns cycles counter when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH3LTSTLR - Channel 3 Latest Timestamp TAI Low Register}
\subsubsection{CH3LTSTLR -- Channel 3 Latest Timestamp TAI Low Register} \label{app:conv-regs-CH3LTSTLR}
\label{app:conv-regs-ch3ltstlr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1086,15 +1259,9 @@ CH3LTSTLR ...@@ -1086,15 +1259,9 @@ CH3LTSTLR
\\ \\
Value of the TAI seconds counter bits 31..0 when time tag was taken. Value of the TAI seconds counter bits 31..0 when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH3LTSTHR - Channel 3 Latest Timestamp TAI High Register}
\label{app:conv-regs-CH3LTSTHR}
\pagebreak
\subsubsection{CH3LTSTHR -- Channel 3 Latest Timestamp TAI High Register}
\label{app:conv-regs-ch3ltsthr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -1134,33 +1301,28 @@ WRTAG ...@@ -1134,33 +1301,28 @@ WRTAG
\\ \\
1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter 1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH4LTSCYR - Channel 4 Latest Timestamp Cycles Register}
\subsubsection{CH4LTSCYR -- Channel 4 Latest Timestamp Cycles Register} \label{app:conv-regs-CH4LTSCYR}
\label{app:conv-regs-ch4ltscyr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{1}{|c}{-} & - & - & - & \multicolumn{4}{|c|}{\cellcolor{gray!25}TAI[27:24]}\\ \multicolumn{1}{|c}{-} & - & - & - & \multicolumn{4}{|c|}{\cellcolor{gray!25}CH4LTSCYR[27:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TAI[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4LTSCYR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TAI[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4LTSCYR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TAI[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4LTSCYR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -1168,19 +1330,14 @@ WRTAG ...@@ -1168,19 +1330,14 @@ WRTAG
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
TAI CH4LTSCYR
} [\emph{write-only}]: Cycles counter } [\emph{read-only}]: Cycles counter
\\ \\
Value of the 8-ns cycles counter when time tag was taken. Value of the 8-ns cycles counter when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH4LTSTLR - Channel 4 Latest Timestamp TAI Low Register}
\subsubsection{CH4LTSTLR -- Channel 4 Latest Timestamp TAI Low Register} \label{app:conv-regs-CH4LTSTLR}
\label{app:conv-regs-ch4ltstlr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1212,14 +1369,9 @@ CH4LTSTLR ...@@ -1212,14 +1369,9 @@ CH4LTSTLR
\\ \\
Value of the TAI seconds counter bits 31..0 when time tag was taken. Value of the TAI seconds counter bits 31..0 when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH4LTSTHR - Channel 4 Latest Timestamp TAI High Register}
\subsubsection{CH4LTSTHR -- Channel 4 Latest Timestamp TAI High Register} \label{app:conv-regs-CH4LTSTHR}
\label{app:conv-regs-ch4ltsthr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1258,14 +1410,9 @@ WRTAG ...@@ -1258,14 +1410,9 @@ WRTAG
\\ \\
1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter 1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH5LTSCYR - Channel 5 Latest Timestamp Cycles Register}
\subsubsection{CH5LTSCYR -- Channel 5 Latest Timestamp Cycles Register} \label{app:conv-regs-CH5LTSCYR}
\label{app:conv-regs-ch5ltscyr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1293,18 +1440,13 @@ WRTAG ...@@ -1293,18 +1440,13 @@ WRTAG
\item \begin{small} \item \begin{small}
{\bf {\bf
CH5LTSCYR CH5LTSCYR
} [\emph{write-only}]: Cycles counter } [\emph{read-only}]: Cycles counter
\\ \\
Value of the 8-ns cycles counter when time tag was taken. Value of the 8-ns cycles counter when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH5LTSTLR - Channel 5 Latest Timestamp TAI Low Register}
\subsubsection{CH5LTSTLR -- Channel 5 Latest Timestamp TAI Low Register} \label{app:conv-regs-CH5LTSTLR}
\label{app:conv-regs-ch5ltstlr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1336,15 +1478,9 @@ CH5LTSTLR ...@@ -1336,15 +1478,9 @@ CH5LTSTLR
\\ \\
Value of the TAI seconds counter bits 31..0 when time tag was taken. Value of the TAI seconds counter bits 31..0 when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH5LTSTHR - Channel 5 Latest Timestamp TAI High Register}
\label{app:conv-regs-CH5LTSTHR}
\pagebreak
\subsubsection{CH5LTSTHR -- Channel 5 Latest Timestamp TAI High Register}
\label{app:conv-regs-ch5ltsthr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -1384,14 +1520,9 @@ WRTAG ...@@ -1384,14 +1520,9 @@ WRTAG
\\ \\
1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter 1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH6LTSCYR - Channel 6 Latest Timestamp Cycles Register}
\subsubsection{CH6LTSCYR -- Channel 6 Latest Timestamp Cycles Register} \label{app:conv-regs-CH6LTSCYR}
\label{app:conv-regs-ch6ltscyr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1419,17 +1550,13 @@ WRTAG ...@@ -1419,17 +1550,13 @@ WRTAG
\item \begin{small} \item \begin{small}
{\bf {\bf
CH6LTSCYR CH6LTSCYR
} [\emph{write-only}]: Cycles counter } [\emph{read-only}]: Cycles counter
\\ \\
Value of the 8-ns cycles counter when time tag was taken. Value of the 8-ns cycles counter when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH6LTSTLR - Channel 6 Latest Timestamp TAI Low Register}
\subsubsection{CH6LTSTLR -- Channel 6 Latest Timestamp TAI Low Register} \label{app:conv-regs-CH6LTSTLR}
\label{app:conv-regs-ch6ltstlr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -1462,14 +1589,9 @@ CH6LTSTLR ...@@ -1462,14 +1589,9 @@ CH6LTSTLR
\\ \\
Value of the TAI seconds counter bits 31..0 when time tag was taken. Value of the TAI seconds counter bits 31..0 when time tag was taken.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{CH6LTSTHR - Channel 6 Latest Timestamp TAI High Register}
\subsubsection{CH6LTSTHR -- Channel 6 Latest Timestamp TAI High Register} \label{app:conv-regs-CH6LTSTHR}
\label{app:conv-regs-ch6ltsthr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1508,12 +1630,8 @@ WRTAG ...@@ -1508,12 +1630,8 @@ WRTAG
\\ \\
1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter 1 - Current time tag generated with White Rabbit \\ 0 - Current time tag generated with internal counter
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{LSR - Line Status Register}
\subsubsection{LSR -- Line Status Register}
\label{app:conv-regs-lsr} \label{app:conv-regs-lsr}
\vspace{11pt} \vspace{11pt}
...@@ -1582,15 +1700,9 @@ REARFS ...@@ -1582,15 +1700,9 @@ REARFS
\\ \\
High if line is in failsafe mode (e.g., no cable plugged in)\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc. High if line is in failsafe mode (e.g., no cable plugged in)\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc.
\end{small} \end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize} \end{itemize}
\vspace{11pt} \subsubsection{OSWR - Other Switch Register}
\label{app:conv-regs-OSWR}
\subsubsection{OSWR -- Other Switches Register}
\label{app:conv-regs-oswr}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
...@@ -1622,7 +1734,107 @@ SWITCHES ...@@ -1622,7 +1734,107 @@ SWITCHES
\\ \\
1 -- switch is ON \\ 0 -- switch is OFF 1 -- switch is ON \\ 0 -- switch is OFF
\end{small} \end{small}
\end{itemize}
\subsubsection{UIDLR - 32 LS bits of 1-wire thermometer ID}
\label{app:conv-regs-UIDLR}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}UIDLR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}UIDLR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}UIDLR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}UIDLR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small} \item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined {\bf
UIDLR
} [\emph{read-only}]: LS bits of 1-wire DS18B20U thermometer ID
\end{small}
\end{itemize}
\subsubsection{UIDHR - 32 MS bits of 1-wire thermometer ID}
\label{app:conv-regs-UIDHR}
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}UIDHR[31:24]}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}UIDHR[23:16]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}UIDHR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}UIDHR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
UIDHR
} [\emph{read-only}]: MS bits of 1-wire DS18B20U thermometer ID
\end{small} \end{small}
\end{itemize} \end{itemize}
\subsubsection{TEMPR - Temperature Resgister }
\label{app:conv-regs-TEMPR}
Raw temperature data from the one wire DS18B20U. The register is 2-bytes long; it translates to ${}^{o}C$ as follows: Temp = register value / 16.0
\vspace{11pt}
\noindent
\resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TEMPR[15:8]}\\
\hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}TEMPR[7:0]}\\
\hline
\end{tabular}
}
\begin{itemize}
\item \begin{small}
{\bf
TEMPR
} [\emph{read-only}]: TEMP
\\
Current on-board temperature
\end{small}
\end{itemize}
...@@ -9,11 +9,11 @@ ...@@ -9,11 +9,11 @@
xmlns="http://www.w3.org/2000/svg" xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd" xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape" xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="280.0137" width="298.68127"
height="185.002" height="197.33546"
id="svg2" id="svg2"
version="1.1" version="1.1"
inkscape:version="0.48.3.1 r9886" inkscape:version="0.92.1 r15371"
sodipodi:docname="block-diagram.svg"> sodipodi:docname="block-diagram.svg">
<defs <defs
id="defs4"> id="defs4">
...@@ -26,9 +26,8 @@ ...@@ -26,9 +26,8 @@
style="overflow:visible"> style="overflow:visible">
<path <path
id="path3983" id="path3983"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z" d="M -2.4618667,0 1.2288,-2.1333333 v 4.2666666 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt" style="fill-rule:evenodd;stroke:#000000;stroke-width:0.42666668pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
</marker> </marker>
<marker <marker
...@@ -40,9 +39,8 @@ ...@@ -40,9 +39,8 @@
style="overflow:visible"> style="overflow:visible">
<path <path
id="path3986" id="path3986"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z" d="M -1.2309333,0 0.6144,-1.0666667 v 2.1333334 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt" style="fill-rule:evenodd;stroke:#000000;stroke-width:0.21333334pt"
transform="scale(-0.2,-0.2)"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
</marker> </marker>
<marker <marker
...@@ -54,9 +52,8 @@ ...@@ -54,9 +52,8 @@
style="overflow:visible"> style="overflow:visible">
<path <path
id="path3995" id="path3995"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z" d="M 1.2309333,0 -0.6144,1.0666667 v -2.1333334 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt" style="fill-rule:evenodd;stroke:#000000;stroke-width:0.21333334pt"
transform="scale(0.2,0.2)"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
</marker> </marker>
<marker <marker
...@@ -68,9 +65,21 @@ ...@@ -68,9 +65,21 @@
style="overflow:visible"> style="overflow:visible">
<path <path
id="path3992" id="path3992"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z" d="M 2.4618667,0 -1.2288,2.1333333 v -4.2666666 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt" style="fill-rule:evenodd;stroke:#000000;stroke-width:0.42666668pt"
transform="scale(0.4,0.4)" inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="TriangleInM-2"
style="overflow:visible">
<path
id="path3983-5"
d="M -2.4618667,0 1.2288,-2.1333333 v 4.2666666 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:0.42666668pt"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
</marker> </marker>
</defs> </defs>
...@@ -81,16 +90,16 @@ ...@@ -81,16 +90,16 @@
borderopacity="1.0" borderopacity="1.0"
inkscape:pageopacity="0.0" inkscape:pageopacity="0.0"
inkscape:pageshadow="2" inkscape:pageshadow="2"
inkscape:zoom="1.979899" inkscape:zoom="5.6"
inkscape:cx="227.60417" inkscape:cx="242.13769"
inkscape:cy="54.501465" inkscape:cy="114.48068"
inkscape:document-units="px" inkscape:document-units="px"
inkscape:current-layer="layer1" inkscape:current-layer="layer1"
showgrid="true" showgrid="true"
inkscape:window-width="1855" inkscape:window-width="1920"
inkscape:window-height="1176" inkscape:window-height="1138"
inkscape:window-x="65" inkscape:window-x="-8"
inkscape:window-y="24" inkscape:window-y="-8"
inkscape:window-maximized="1" inkscape:window-maximized="1"
showguides="true" showguides="true"
inkscape:guide-bbox="true" inkscape:guide-bbox="true"
...@@ -105,8 +114,10 @@ ...@@ -105,8 +114,10 @@
visible="true" visible="true"
enabled="true" enabled="true"
snapvisiblegridlinesonly="true" snapvisiblegridlinesonly="true"
originx="-230px" originx="-245.33333"
originy="-480px" /> originy="-512"
spacingx="1.0666667"
spacingy="1.0666667" />
</sodipodi:namedview> </sodipodi:namedview>
<metadata <metadata
id="metadata7"> id="metadata7">
...@@ -124,68 +135,66 @@ ...@@ -124,68 +135,66 @@
inkscape:label="Layer 1" inkscape:label="Layer 1"
inkscape:groupmode="layer" inkscape:groupmode="layer"
id="layer1" id="layer1"
transform="translate(-230,-387.36018)"> transform="translate(-245.33333,-413.18419)">
<path <path
style="fill:#b2b2b2;fill-opacity:1;stroke:none" style="fill:#b2b2b2;fill-opacity:1;stroke:none;stroke-width:1.06666672"
d="m -2.37891,-15.722986 -0.01367,184.999996 280,0.002 0.0137,-184.999998 z m 14.97266,20.004236 240,0 0,145 -240,0 z" d="m 245.34791,413.1842 -0.0146,197.33333 298.66667,0.002 0.0146,-197.33333 z m 15.97084,21.33785 h 256 v 154.66666 h -256 z"
transform="translate(232.39258,403.08317)"
id="rect3912" id="rect3912"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cccccccccc" /> sodipodi:nodetypes="cccccccccc" />
<rect <rect
style="fill:none;stroke:#000000;stroke-opacity:1" style="fill:none;stroke:#000000;stroke-width:1.06666672;stroke-opacity:1"
id="rect3921" id="rect3921"
width="240" width="256"
height="145" height="154.66667"
x="245" x="261.33334"
y="407.36218" /> y="434.51965" />
<g <g
id="g5504" id="g5504"
transform="translate(-42.102139,-33.754943)"> transform="translate(-44.908948,-36.005273)">
<g <g
id="g5506" id="g5506"
transform="translate(4.738785,0)" /> transform="translate(5.054704)" />
</g> </g>
<g <g
id="g6938" id="g6938"
transform="translate(0,9.9999965)"> transform="translate(0,10.666663)">
<rect <rect
y="477.36218" y="509.18634"
x="255" x="272"
height="60.000004" height="64.000008"
width="55.000004" width="58.666672"
id="rect6930" id="rect6930"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" /> style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
<g <g
transform="translate(7.759737,10.000023)" transform="translate(8.2770528,10.666691)"
id="g6866"> id="g6866">
<text <text
sodipodi:linespacing="125%"
id="text4053" id="text4053"
y="485.05984" y="517.39716"
x="274.82034" x="293.14169"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
id="tspan4057" id="tspan4057"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle" style="font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672"
y="485.05984" y="517.39716"
x="274.82034" x="293.14169"
sodipodi:role="line">I<tspan sodipodi:role="line">I<tspan
style="font-size:65.00091553%;baseline-shift:super" style="font-size:5.54674482px;font-family:sans-serif;baseline-shift:super;stroke-width:1.06666672"
id="tspan4100">2</tspan>C</tspan><tspan id="tspan4100">2</tspan>C</tspan><tspan
id="tspan6909" id="tspan6909"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle" style="font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672"
y="495.05984" y="528.06384"
x="274.82034" x="293.14169"
sodipodi:role="line">to</tspan><tspan sodipodi:role="line">to</tspan><tspan
id="tspan6907" id="tspan6907"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle" style="font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672"
y="505.05984" y="538.73047"
x="274.82034" x="293.14169"
sodipodi:role="line">Wishbone</tspan><tspan sodipodi:role="line">Wishbone</tspan><tspan
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle" style="font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672"
y="515.05981" y="549.39716"
x="274.82034" x="293.14169"
sodipodi:role="line" sodipodi:role="line"
id="tspan6793">bridge</tspan></text> id="tspan6793">bridge</tspan></text>
</g> </g>
...@@ -193,654 +202,599 @@ ...@@ -193,654 +202,599 @@
<rect <rect
ry="0" ry="0"
rx="0" rx="0"
y="487.36218" y="519.85297"
x="335" x="357.33334"
height="60.000004" height="64.000008"
width="60" width="64"
id="rect4677" id="rect4677"
style="fill:#cccccc;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" /> style="fill:#cccccc;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
<g <g
style="stroke-width:1.5;stroke-miterlimit:4;stroke-dasharray:none" style="stroke-width:1.5;stroke-miterlimit:4;stroke-dasharray:none"
id="g5332" id="g5332"
transform="translate(-52.14257,255.88552)"> transform="translate(-55.618741,272.94455)">
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path5322" id="path5322"
d="m 397.14257,241.47667 10.33775,0 18.90999,40.21622 10.75226,-0.21622" d="m 423.61874,257.57511 h 11.02693 l 20.17066,42.89731 11.46908,-0.23064"
style="fill:none;stroke:#000000;stroke-width:1.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:1.60000002;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:nodetypes="cccc" /> sodipodi:nodetypes="cccc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:1.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:1.60000002;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 395.28817,281.69289 12.19215,0 19.66225,-40.21622 10,0" d="m 421.64071,300.47242 h 13.00496 l 20.97307,-42.89731 h 10.66667"
id="path5324" id="path5324"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" /> sodipodi:nodetypes="cccc" />
</g> </g>
<g <g
id="g6873" id="g6873"
transform="translate(-13.01886,-73.541565)"> transform="translate(-13.886784,-78.444336)">
<rect <rect
y="525.90375" y="560.96399"
x="433.01886" x="461.88678"
height="24.999969" height="26.666634"
width="55.000004" width="58.666672"
id="rect14846" id="rect14846"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" /> style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="460.36066" x="491.05136"
y="536.38617" y="572.14526"
id="text14838" id="text14838"><tspan
sodipodi:linespacing="125%"><tspan
id="tspan14856" id="tspan14856"
sodipodi:role="line" sodipodi:role="line"
x="460.36066" x="491.05136"
y="536.38617" y="572.14526"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle">Bicolor LED</tspan><tspan style="font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672">Bicolor LED</tspan><tspan
sodipodi:role="line" sodipodi:role="line"
x="460.36066" x="491.05136"
y="546.38617" y="582.81195"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle" style="font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672"
id="tspan3977">controller</tspan></text> id="tspan3977">controller</tspan></text>
</g> </g>
<g <g
transform="translate(-98.01886,-108.54156)" transform="translate(-104.55345,-115.77766)"
id="g6879"> id="g6879">
<rect <rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
id="rect6881" id="rect6881"
width="60" width="64"
height="24.999969" height="26.666634"
x="433.01886" x="461.88678"
y="525.90375" /> y="560.96399" />
<text <text
sodipodi:linespacing="125%"
id="text6883" id="text6883"
y="535.57953" y="571.28485"
x="463.15948" x="494.03677"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
id="tspan6887" id="tspan6887"
style="font-size:8px;font-weight:bold;text-align:center;text-anchor:middle" style="font-weight:bold;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672"
y="535.57953" y="571.28485"
x="463.15948" x="494.03677"
sodipodi:role="line">Channel</tspan><tspan sodipodi:role="line">Channel</tspan><tspan
id="tspan6891" id="tspan6891"
style="font-size:8px;font-weight:bold;text-align:center;text-anchor:middle" style="font-weight:bold;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672"
y="545.57953" y="581.95154"
x="463.15948" x="494.03677"
sodipodi:role="line">logic</tspan></text> sodipodi:role="line">logic</tspan></text>
</g> </g>
<g <g
id="g6932"> id="g6932">
<rect <rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
id="rect6895" id="rect6895"
width="55.000004" width="58.666672"
height="24.999969" height="26.666634"
x="255" x="272"
y="417.36218" /> y="445.18634" />
<text <text
sodipodi:linespacing="125%"
id="text6897" id="text6897"
y="427.8446" y="456.36758"
x="282.3418" x="301.16458"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
id="tspan6901" id="tspan6901"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle" style="font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672"
y="427.8446" y="456.36758"
x="282.3418" x="301.16458"
sodipodi:role="line">Reset</tspan><tspan sodipodi:role="line">Reset</tspan><tspan
id="tspan6905" id="tspan6905"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle" style="font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672"
y="437.8446" y="467.03424"
x="282.3418" x="301.16458"
sodipodi:role="line">generator</tspan></text> sodipodi:role="line">generator</tspan></text>
</g> </g>
<g <g
id="g6860" id="g6860"
transform="translate(-9.9999886,30)"> transform="translate(-10.666655,32)">
<rect <rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
id="rect4031" id="rect4031"
width="54.921246" width="58.582661"
height="25" height="26.666666"
x="430" x="458.66666"
y="457.36218" /> y="487.853" />
<text <text
sodipodi:linespacing="125%"
id="text4033" id="text4033"
y="467.8446" y="499.03424"
x="457.16571" x="487.64343"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
id="tspan4037" id="tspan4037"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle" style="font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672"
y="467.8446" y="499.03424"
x="457.16571" x="487.64343"
sodipodi:role="line">MultiBoot</tspan><tspan sodipodi:role="line">MultiBoot</tspan><tspan
id="tspan4041" id="tspan4041"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle" style="font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672"
y="477.8446" y="509.7009"
x="457.16571" x="487.64343"
sodipodi:role="line">module</tspan></text> sodipodi:role="line">module</tspan></text>
</g> </g>
<g <g
id="g6850" id="g6850"
transform="translate(-9.9999886,30)"> transform="translate(-10.666655,32)">
<rect <rect
y="492.36218" y="525.18634"
x="430" x="458.66666"
height="25" height="26.666666"
width="54.921246" width="58.582661"
id="rect3173" id="rect3173"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" /> style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="457.44891" x="487.9455"
y="502.8446" y="536.36755"
id="text3175" id="text3175"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
x="457.44891" x="487.9455"
y="502.8446" y="536.36755"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle" style="font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672"
id="tspan3179">One-wire</tspan><tspan id="tspan3179">One-wire</tspan><tspan
sodipodi:role="line" sodipodi:role="line"
x="457.44891" x="487.9455"
y="512.8446" y="547.03424"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle" style="font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672"
id="tspan3183">master</tspan></text> id="tspan3183">interface</tspan></text>
</g> </g>
<g <g
id="g6832" id="g6832"
transform="translate(-178.09763,-121.96844)"> transform="translate(-189.97081,-130.09967)">
<g <g
id="g6839"> id="g6839">
<g <g
id="g7332" id="g7332"
transform="translate(0,4.999999)"> transform="translate(0,5.3333323)">
<rect <rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
id="rect6819" id="rect6819"
width="140" width="149.33333"
height="14.999999" height="15.999999"
x="433.09763" x="461.97079"
y="574.33063" /> y="612.61932" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="503.07028" x="536.60828"
y="584.03766" y="622.97351"
id="text13677" id="text13677"><tspan
sodipodi:linespacing="125%"><tspan
id="tspan4003" id="tspan4003"
sodipodi:role="line" sodipodi:role="line"
x="503.07028" x="536.60828"
y="584.03766" y="622.97351"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle">Converter board registers</tspan></text> style="font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672">Converter board registers</tspan></text>
</g> </g>
</g> </g>
</g> </g>
<path <path
style="fill:none;stroke:#000000;stroke-width:1.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)" style="fill:none;stroke:#000000;stroke-width:1.60000002;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)"
d="m 315.40597,517.61235 14.59403,0" d="M 336.43303,552.11984 H 352"
id="path6948" id="path6948"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path7322" id="path7322"
d="m 362.29701,483.36219 0,-7" d="m 386.45014,515.58634 v -7.46667"
style="fill:none;stroke:#000000;stroke-width:1.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)" style="fill:none;stroke:#000000;stroke-width:1.60000002;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:1.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)" style="fill:none;stroke:#000000;stroke-width:1.60000002;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)"
d="m 400.40597,500.36219 14.59403,0" d="m 427.0997,533.71967 h 15.56697"
id="path7324" id="path7324"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path7326" id="path7326"
d="m 400.40597,534.36219 14.59403,0" d="m 427.0997,569.98634 h 15.56697"
style="fill:none;stroke:#000000;stroke-width:1.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)" /> style="fill:none;stroke:#000000;stroke-width:1.60000002;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="365" x="389.33334"
y="481.54092" y="513.64368"
id="text7337" id="text7337"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan7339" id="tspan7339"
x="365" x="389.33334"
y="481.54092" y="513.64368"
style="font-size:4px">WB</tspan></text> style="font-size:4.26666689px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">WB</tspan></text>
<text <text
sodipodi:linespacing="125%"
id="text7341" id="text7341"
y="497.36218" y="530.51965"
x="405" x="432"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:4px" style="font-size:4.26666689px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672"
y="497.36218" y="530.51965"
x="405" x="432"
id="tspan7343" id="tspan7343"
sodipodi:role="line">WB</tspan></text> sodipodi:role="line">WB</tspan></text>
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="405" x="432"
y="531.36218" y="566.78632"
id="text7345" id="text7345"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan7347" id="tspan7347"
x="405" x="432"
y="531.36218" y="566.78632"
style="font-size:4px">WB</tspan></text> style="font-size:4.26666689px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">WB</tspan></text>
<text <text
sodipodi:linespacing="125%"
id="text7349" id="text7349"
y="515.36218" y="549.71967"
x="320" x="341.33334"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:4px" style="font-size:4.26666689px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672"
y="515.36218" y="549.71967"
x="320" x="341.33334"
id="tspan7351" id="tspan7351"
sodipodi:role="line">WB</tspan></text> sodipodi:role="line">WB</tspan></text>
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)"
d="m 245.70117,159.59766 0,9" d="m 300.8,507.053 v 9.6"
id="path7355" id="path7355"
inkscape:connector-curvature="0" inkscape:connector-curvature="0" />
transform="translate(36.298828,315.76453)" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path7919" id="path7919"
d="m 282,445.36219 0,9" d="m 300.8,475.053 v 9.6"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" /> style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)"
d="m 352,445.36219 0,9" d="m 375.46667,475.053 v 9.6"
id="path7921" id="path7921"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path7923" id="path7923"
d="m 357,445.36219 0,9" d="m 380.8,475.053 v 9.6"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" /> style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)"
d="m 362,445.36219 0,9" d="m 386.13333,475.053 v 9.6"
id="path7925" id="path7925"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path7927" id="path7927"
d="m 377,445.36219 0,9" d="m 402.13333,475.053 v 9.6"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" /> style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" />
<text <text
sodipodi:linespacing="125%"
id="text7929" id="text7929"
y="452.36218" y="482.51965"
x="368" x="392.53333"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:4px;font-weight:bold" style="font-weight:bold;font-size:4.26666689px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672"
y="452.36218" y="482.51965"
x="368" x="392.53333"
id="tspan7931" id="tspan7931"
sodipodi:role="line">...</tspan></text> sodipodi:role="line">...</tspan></text>
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path7933" id="path7933"
d="m 337,403.36217 0,9" d="m 359.46667,430.25298 v 9.6"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:none;marker-end:url(#TriangleOutM)" /> style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:none;marker-end:url(#TriangleOutM)" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:none;marker-end:url(#TriangleOutM)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:none;marker-end:url(#TriangleOutM)"
d="m 342,403.36217 0,9" d="m 364.8,430.25298 v 9.6"
id="path7935" id="path7935"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path7937" id="path7937"
d="m 347,403.36217 0,9" d="m 370.13333,430.25298 v 9.6"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:none;marker-end:url(#TriangleOutM)" /> style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:none;marker-end:url(#TriangleOutM)" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:none;marker-end:url(#TriangleOutM)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:none;marker-end:url(#TriangleOutM)"
d="m 357,403.36217 0,9" d="m 380.8,430.25298 v 9.6"
id="path7939" id="path7939"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="350" x="373.33334"
y="410.36215" y="437.71964"
id="text7941" id="text7941"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan7943" id="tspan7943"
x="350" x="373.33334"
y="410.36215" y="437.71964"
style="font-size:4px;font-weight:bold">...</tspan></text> style="font-weight:bold;font-size:4.26666689px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">...</tspan></text>
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:none"
d="m 373,404.36217 0,9" d="m 397.86667,431.31965 v 9.6"
id="path8133" id="path8133"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path8135" id="path8135"
d="m 378,404.36217 0,9" d="m 403.2,431.31965 v 9.6"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:none" /> style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:none" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:none"
d="m 383,404.36217 0,9" d="m 408.53333,431.31965 v 9.6"
id="path8137" id="path8137"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path8139" id="path8139"
d="m 393,404.36217 0,9" d="m 419.2,431.31965 v 9.6"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:none" /> style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:none" />
<text <text
sodipodi:linespacing="125%"
id="text8141" id="text8141"
y="411.36215" y="438.78629"
x="386" x="411.73334"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:4px;font-weight:bold" style="font-weight:bold;font-size:4.26666689px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672"
y="411.36215" y="438.78629"
x="386" x="411.73334"
id="tspan8143" id="tspan8143"
sodipodi:role="line">...</tspan></text> sodipodi:role="line">...</tspan></text>
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path8521" id="path8521"
d="m 241,517.36217 9,0" d="m 257.06667,551.85298 h 9.6"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" /> style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="232" x="247.46666"
y="519.09869" y="553.70526"
id="text8523" id="text8523"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan8525" id="tspan8525"
x="232" x="247.46666"
y="519.09869" y="553.70526"
style="font-size:4px;font-style:normal;font-weight:bold">I<tspan style="font-style:normal;font-weight:bold;font-size:4.26666689px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">I<tspan
style="font-size:65.00091553%;font-weight:bold;baseline-shift:super" style="font-weight:bold;font-size:2.77337241px;font-family:sans-serif;baseline-shift:super;stroke-width:1.06666672"
id="tspan8527">2</tspan>C</tspan></text> id="tspan8527">2</tspan>C</tspan></text>
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)"
d="m 480,500.36217 9,0" d="m 512,533.71965 h 9.6"
id="path8529" id="path8529"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<text <text
sodipodi:linespacing="125%"
id="text8531" id="text8531"
y="501.81824" y="535.27277"
x="493" x="525.86664"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:4px;font-style:normal;font-weight:bold" style="font-style:normal;font-weight:bold;font-size:4.26666689px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672"
y="501.81824" y="535.27277"
x="493" x="525.86664"
id="tspan8533" id="tspan8533"
sodipodi:role="line">SPI</tspan></text> sodipodi:role="line">SPI</tspan></text>
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path8537" id="path8537"
d="m 480,534.36217 9,0" d="m 512,569.98631 h 9.6"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" /> style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="492.82422" x="525.67914"
y="535.85339" y="571.57697"
id="text8539" id="text8539"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan8541" id="tspan8541"
x="492.82422" x="525.67914"
y="535.85339" y="571.57697"
style="font-size:4px;font-style:normal;font-weight:bold">1-wire</tspan></text> style="font-style:normal;font-weight:bold;font-size:4.26666689px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">1-wire</tspan></text>
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:none"
d="m 489,465.36216 -9,0" d="M 521.6,496.3863 H 512"
id="path8543" id="path8543"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path8545" id="path8545"
d="m 283,404.36217 0,9" d="m 301.86667,431.31965 v 9.6"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:none" /> style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:none" />
<g <g
id="g8561" id="g8561"
transform="translate(0,1.000016)"> transform="translate(0,1.0666837)">
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path8547" id="path8547"
d="m 241,465.36217 9,0" d="m 257.06667,496.38631 h 9.6"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:none;marker-end:url(#TriangleOutM)" /> style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:none;marker-end:url(#TriangleOutM)" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:none;marker-end:url(#TriangleOutM)" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:none;marker-end:url(#TriangleOutM)"
d="m 241,462.36217 9,0" d="m 257.06667,493.18631 h 9.6"
id="path8549" id="path8549"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path8551" id="path8551"
d="m 241,459.36217 9,0" d="m 257.06667,489.98631 h 9.6"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:none;marker-end:url(#TriangleOutM)" /> style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:none;marker-end:url(#TriangleOutM)" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path8559" id="path8559"
d="m 241,468.36217 9,0" d="m 257.06667,499.58631 h 9.6"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:none;marker-end:url(#TriangleOutM)" /> style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:none;marker-end:url(#TriangleOutM)" />
</g> </g>
<path <circle
sodipodi:type="arc" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3880" id="path3880"
sodipodi:cx="12.60742" cx="261.33334"
sodipodi:cy="57.279011" cy="491.05298"
sodipodi:rx="1" r="1.0666667" />
sodipodi:ry="1" <circle
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="translate(232.39258,403.08317)" />
<path
transform="translate(232.39258,406.08317)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path3882" id="path3882"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="261.33334"
<path cy="494.25299"
sodipodi:type="arc" r="1.0666667" />
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" <circle
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path3884" id="path3884"
sodipodi:cx="12.60742" cx="261.33334"
sodipodi:cy="57.279011" cy="497.453"
sodipodi:rx="1" r="1.0666667" />
sodipodi:ry="1" <circle
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="translate(232.39258,409.08317)" />
<path
transform="translate(232.39258,412.08317)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path3886" id="path3886"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="261.33334"
<path cy="500.65298"
sodipodi:type="arc" r="1.0666667" />
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" <circle
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path3888" id="path3888"
sodipodi:cx="12.60742" cx="261.33334"
sodipodi:cy="57.279011" cy="551.85297"
sodipodi:rx="1" r="1.0666667" />
sodipodi:ry="1" <circle
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="translate(232.39258,460.08317)" />
<path
transform="translate(472.39258,477.08317)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path3890" id="path3890"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="517.33331"
<path cy="569.98633"
sodipodi:type="arc" r="1.0666667" />
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" <circle
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path3892" id="path3892"
sodipodi:cx="12.60742" cx="517.33331"
sodipodi:cy="57.279011" cy="533.71967"
sodipodi:rx="1" r="1.0666667" />
sodipodi:ry="1" <circle
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="translate(472.39258,443.08317)" />
<path
transform="translate(472.39258,408.08317)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path3894" id="path3894"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="517.33331"
<path cy="496.38632"
sodipodi:type="arc" r="1.0666667" />
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" <circle
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path3896" id="path3896"
sodipodi:cx="12.60742" cx="419.20001"
sodipodi:cy="57.279011" cy="434.51965"
sodipodi:rx="1" r="1.0666667" />
sodipodi:ry="1" <circle
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="translate(380.39258,350.08317)" />
<path
transform="translate(370.39258,350.08317)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path3898" id="path3898"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="408.53333"
<path cy="434.51965"
sodipodi:type="arc" r="1.0666667" />
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" <circle
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path3900" id="path3900"
sodipodi:cx="12.60742" cx="403.20001"
sodipodi:cy="57.279011" cy="434.51965"
sodipodi:rx="1" r="1.0666667" />
sodipodi:ry="1" <circle
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="translate(365.39258,350.08317)" />
<path
transform="translate(360.39258,350.08317)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path3902" id="path3902"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="397.86667"
<path cy="434.51965"
transform="translate(344.39258,350.08317)" r="1.0666667" />
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z" <circle
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path3904" id="path3904"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="380.79999"
<path cy="434.51965"
sodipodi:type="arc" r="1.0666667" />
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" <circle
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path3906" id="path3906"
sodipodi:cx="12.60742" cx="370.13333"
sodipodi:cy="57.279011" cy="434.51965"
sodipodi:rx="1" r="1.0666667" />
sodipodi:ry="1" <circle
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="translate(334.39258,350.08317)" />
<path
transform="translate(329.39258,350.08317)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path3908" id="path3908"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="364.79999"
<path cy="434.51965"
sodipodi:type="arc" r="1.0666667" />
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" <circle
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path3910" id="path3910"
sodipodi:cx="12.60742" cx="359.46667"
sodipodi:cy="57.279011" cy="434.51965"
sodipodi:rx="1" r="1.0666667" />
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="translate(324.39258,350.08317)" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="365" x="389.33334"
y="567.36218" y="605.18634"
id="text3931" id="text3931"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan3933" id="tspan3933"
x="365" x="389.33334"
y="567.36218" y="605.18634"
style="font-size:8px;font-weight:bold;text-align:center;text-anchor:middle">EXTERNAL LOGIC</tspan></text> style="font-weight:bold;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672">EXTERNAL LOGIC</tspan></text>
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="365" x="389.33334"
y="397.36218" y="423.853"
id="text3939" id="text3939"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan3941" id="tspan3941"
x="365" x="389.33334"
y="397.36218" y="423.853"
style="font-size:8px;font-weight:bold;text-align:center;text-anchor:middle">EXTERNAL LOGIC</tspan></text> style="font-weight:bold;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672">EXTERNAL LOGIC</tspan></text>
<path <circle
transform="translate(270.39258,350.08317)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path3947" id="path3947"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="301.86667"
cy="434.51965"
r="1.0666667" />
<g
id="g6873-8"
transform="translate(-13.886766,-115.77769)">
<rect
y="560.96399"
x="461.88678"
height="26.666634"
width="58.666672"
id="rect14846-3"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
<text
xml:space="preserve"
style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="491.05136"
y="572.14526"
id="text14838-3"><tspan
id="tspan14856-9"
sodipodi:role="line"
x="491.05136"
y="572.14526"
style="font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672">Pulse LED</tspan><tspan
sodipodi:role="line"
x="491.05136"
y="582.81195"
style="font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:1.06666672"
id="tspan3977-9">controller</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-start:url(#TriangleInM-2);marker-end:none"
d="m 520.53335,455.85297 h -9.6"
id="path8543-5"
inkscape:connector-curvature="0" />
</g> </g>
</svg> </svg>
...@@ -7,16 +7,29 @@ ...@@ -7,16 +7,29 @@
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#" xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg" xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg" xmlns="http://www.w3.org/2000/svg"
xmlns:xlink="http://www.w3.org/1999/xlink"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd" xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape" xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="536.1084" width="537.98828"
height="108.84766" height="142.5"
id="svg2" id="svg2"
version="1.1" version="1.1"
inkscape:version="0.48.3.1 r9886" inkscape:version="0.92.1 r15371"
sodipodi:docname="chan-logic.svg"> sodipodi:docname="chan-logic.svg">
<defs <defs
id="defs4"> id="defs4">
<linearGradient
inkscape:collect="always"
id="linearGradient4751">
<stop
style="stop-color:#ffffff;stop-opacity:1;"
offset="0"
id="stop4747" />
<stop
style="stop-color:#ffffff;stop-opacity:0;"
offset="1"
id="stop4749" />
</linearGradient>
<marker <marker
inkscape:stockid="TriangleOutS" inkscape:stockid="TriangleOutS"
orient="auto" orient="auto"
...@@ -26,9 +39,9 @@ ...@@ -26,9 +39,9 @@
style="overflow:visible"> style="overflow:visible">
<path <path
id="path3995" id="path3995"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z" d="M 5.77,0 -2.88,5 V -5 Z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt" style="fill-rule:evenodd;stroke:#000000;stroke-width:1.00000003pt"
transform="scale(0.2,0.2)" transform="scale(0.2)"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
</marker> </marker>
<marker <marker
...@@ -40,9 +53,98 @@ ...@@ -40,9 +53,98 @@
style="overflow:visible"> style="overflow:visible">
<path <path
id="path3992" id="path3992"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z" d="M 5.77,0 -2.88,5 V -5 Z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt" style="fill-rule:evenodd;stroke:#000000;stroke-width:1.00000003pt"
transform="scale(0.4,0.4)" transform="scale(0.4)"
inkscape:connector-curvature="0" />
</marker>
<linearGradient
inkscape:collect="always"
xlink:href="#linearGradient4751"
id="linearGradient4753"
x1="200"
y1="297.36218"
x2="220"
y2="297.36218"
gradientUnits="userSpaceOnUse" />
<linearGradient
gradientTransform="matrix(1,0,0,1.6000002,0,-239.41737)"
inkscape:collect="always"
xlink:href="#linearGradient4751"
id="linearGradient4753-8"
x1="200"
y1="297.36218"
x2="220"
y2="297.36218"
gradientUnits="userSpaceOnUse" />
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM-8"
style="overflow:visible">
<path
id="path3992-6"
d="M 5.77,0 -2.88,5 V -5 Z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1.00000003pt"
transform="scale(0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM-9"
style="overflow:visible">
<path
id="path3992-5"
d="M 5.77,0 -2.88,5 V -5 Z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1.00000003pt"
transform="scale(0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM-2"
style="overflow:visible">
<path
id="path3992-2"
d="M 5.77,0 -2.88,5 V -5 Z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1.00000003pt"
transform="scale(0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM-4"
style="overflow:visible">
<path
id="path3992-9"
d="M 5.77,0 -2.88,5 V -5 Z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1.00000003pt"
transform="scale(0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM-0"
style="overflow:visible">
<path
id="path3992-3"
d="M 5.77,0 -2.88,5 V -5 Z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1.00000003pt"
transform="scale(0.4)"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
</marker> </marker>
</defs> </defs>
...@@ -54,15 +156,15 @@ ...@@ -54,15 +156,15 @@
inkscape:pageopacity="0.0" inkscape:pageopacity="0.0"
inkscape:pageshadow="2" inkscape:pageshadow="2"
inkscape:zoom="2.8" inkscape:zoom="2.8"
inkscape:cx="246.43841" inkscape:cx="247.36126"
inkscape:cy="10.264703" inkscape:cy="10.2647"
inkscape:document-units="px" inkscape:document-units="px"
inkscape:current-layer="layer1" inkscape:current-layer="layer1"
showgrid="true" showgrid="true"
inkscape:window-width="1855" inkscape:window-width="1920"
inkscape:window-height="1176" inkscape:window-height="1138"
inkscape:window-x="65" inkscape:window-x="-8"
inkscape:window-y="24" inkscape:window-y="-8"
inkscape:window-maximized="1" inkscape:window-maximized="1"
showguides="true" showguides="true"
inkscape:guide-bbox="true" inkscape:guide-bbox="true"
...@@ -77,8 +179,10 @@ ...@@ -77,8 +179,10 @@
visible="true" visible="true"
enabled="true" enabled="true"
snapvisiblegridlinesonly="true" snapvisiblegridlinesonly="true"
originx="-36.298828px" originx="-35.375977"
originy="-627.75px" /> originy="-627.75"
spacingx="1"
spacingy="1" />
</sodipodi:namedview> </sodipodi:namedview>
<metadata <metadata
id="metadata7"> id="metadata7">
...@@ -96,9 +200,9 @@ ...@@ -96,9 +200,9 @@
inkscape:label="Layer 1" inkscape:label="Layer 1"
inkscape:groupmode="layer" inkscape:groupmode="layer"
id="layer1" id="layer1"
transform="translate(-36.298828,-315.76453)"> transform="translate(-35.375977,-282.11219)">
<rect <rect
style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#e5e5e5;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="rect2987" id="rect2987"
width="80" width="80"
height="74.999992" height="74.999992"
...@@ -106,39 +210,37 @@ ...@@ -106,39 +210,37 @@
y="337.36218" /> y="337.36218" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="379.79492" x="379.79492"
y="373.83191" y="373.83191"
id="text3757" id="text3757"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
x="379.79492" x="379.79492"
y="373.83191" y="373.83191"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle" style="font-weight:bold;font-size:10px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle"
id="tspan3765">Pulse</tspan><tspan id="tspan3765">Pulse</tspan><tspan
sodipodi:role="line" sodipodi:role="line"
x="379.79492" x="379.79492"
y="386.33191" y="386.33191"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle" style="font-weight:bold;font-size:10px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle"
id="tspan3769">generator</tspan></text> id="tspan3769">generator</tspan></text>
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 167.37043,320.36218 9.62957,0 0,23.0315" d="M 167.37043,320.36218 H 177 v 23.0315"
id="path4013" id="path4013"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" /> sodipodi:nodetypes="ccc" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="164" x="164"
y="323.36218" y="323.36218"
id="text3217" id="text3217"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan3219" id="tspan3219"
x="164" x="164"
y="323.36218" y="323.36218"
style="text-align:end;text-anchor:end">gfen_n_i</tspan></text> style="font-size:10px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end">gfen_n_i</tspan></text>
<g <g
transform="translate(-234.25195,226.34641)" transform="translate(-234.25195,226.34641)"
id="g3985"> id="g3985">
...@@ -146,32 +248,30 @@ ...@@ -146,32 +248,30 @@
sodipodi:nodetypes="ccccc" sodipodi:nodetypes="ccccc"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path3176" id="path3176"
d="m 406.47996,109.84255 0,42.51968 10.62993,-5.31497 0,-31.88976 -10.62993,-5.31495" d="m 406.47996,109.84255 v 42.51968 l 10.62993,-5.31497 V 115.1575 l -10.62993,-5.31495"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" />
<text <text
sodipodi:linespacing="125%"
id="text3178" id="text3178"
y="144.01578" y="144.01578"
x="409.17969" x="409.17969"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:10px" style="font-size:10px;line-height:1.25;font-family:sans-serif"
y="144.01578" y="144.01578"
x="409.17969" x="409.17969"
id="tspan3180" id="tspan3180"
sodipodi:role="line">1</tspan></text> sodipodi:role="line">1</tspan></text>
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="409.25195" x="409.25195"
y="126.01577" y="126.01577"
id="text3182" id="text3182"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan3184" id="tspan3184"
x="409.25195" x="409.25195"
y="126.01577" y="126.01577"
style="font-size:10px">0</tspan></text> style="font-size:10px;line-height:1.25;font-family:sans-serif">0</tspan></text>
</g> </g>
<g <g
transform="translate(-32.910141,-88.276791)" transform="translate(-32.910141,-88.276791)"
...@@ -183,45 +283,43 @@ ...@@ -183,45 +283,43 @@
height="14.999865" height="14.999865"
width="59.999981" width="59.999981"
id="rect3818" id="rect3818"
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="162.91014" x="162.91014"
y="438.63898" y="438.63898"
id="text3808" id="text3808"><tspan
sodipodi:linespacing="125%"><tspan
id="tspan3816" id="tspan3816"
sodipodi:role="line" sodipodi:role="line"
x="162.91014" x="162.91014"
y="438.63898" y="438.63898"
style="font-size:10px;text-align:center;text-anchor:middle">Glitch filt.</tspan></text> style="font-size:10px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle">Glitch filt.</tspan></text>
</g> </g>
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 75,356.36218 15,0 0,-9 7,0" d="m 75,356.36218 h 15 v -9 h 7"
id="path3835" id="path3835"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" /> sodipodi:nodetypes="cccc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 90,356.36218 0,11 80,0" d="m 90,356.36218 v 11 h 80"
id="path3837" id="path3837"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" /> sodipodi:nodetypes="ccc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 162,347.36218 8,0" d="m 162,347.36218 h 8"
id="path3839" id="path3839"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<text <text
sodipodi:linespacing="125%"
id="text5025" id="text5025"
y="360.36218" y="360.36218"
x="70" x="70"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="text-align:end;text-anchor:end" style="font-size:10px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end"
y="360.36218" y="360.36218"
x="70" x="70"
id="tspan5027" id="tspan5027"
...@@ -230,25 +328,24 @@ ...@@ -230,25 +328,24 @@
sodipodi:nodetypes="cc" sodipodi:nodetypes="cc"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path5029" id="path5029"
d="m 425,377.36218 105,0" d="M 425,377.36218 H 530"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" /> style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="535" x="535"
y="379.98181" y="379.98181"
id="text5031" id="text5031"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan5033" id="tspan5033"
x="535" x="535"
y="379.98181" y="379.98181"
style="text-align:start;text-anchor:start">pulse_o</tspan></text> style="font-size:10px;line-height:1.25;font-family:sans-serif;text-align:start;text-anchor:start">pulse_o</tspan></text>
<g <g
transform="translate(67.897861,-106.27681)" transform="translate(67.897861,-106.27681)"
id="g5035"> id="g5035">
<g <g
transform="translate(4.738785,0)" transform="translate(4.738785)"
id="g5037"> id="g5037">
<g <g
id="g5045" id="g5045"
...@@ -261,23 +358,22 @@ ...@@ -261,23 +358,22 @@
height="30.000158" height="30.000158"
width="50.000156" width="50.000156"
id="rect5039" id="rect5039"
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="172.36336" x="172.36336"
y="441.11713" y="441.11713"
id="text5041" id="text5041"><tspan
sodipodi:linespacing="125%"><tspan
id="tspan5043" id="tspan5043"
sodipodi:role="line" sodipodi:role="line"
x="172.36336" x="172.36336"
y="441.11713" y="441.11713"
style="font-size:10px;text-align:center;text-anchor:middle">Pulse</tspan><tspan style="font-size:10px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle">BLO Pulse</tspan><tspan
sodipodi:role="line" sodipodi:role="line"
x="172.36336" x="172.36336"
y="453.61713" y="453.61713"
style="font-size:10px;text-align:center;text-anchor:middle" style="font-size:10px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle"
id="tspan5093">counter</tspan></text> id="tspan5093">counter</tspan></text>
</g> </g>
</g> </g>
...@@ -288,7 +384,7 @@ ...@@ -288,7 +384,7 @@
transform="translate(67.897861,-46.276973)"> transform="translate(67.897861,-46.276973)">
<g <g
id="g5475" id="g5475"
transform="translate(4.738785,0)"> transform="translate(4.738785)">
<g <g
transform="translate(-3.6206055e-6,-5.0000004)" transform="translate(-3.6206055e-6,-5.0000004)"
id="g5477"> id="g5477">
...@@ -303,19 +399,18 @@ ...@@ -303,19 +399,18 @@
height="20.000158" height="20.000158"
width="50" width="50"
id="rect5481" id="rect5481"
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="172.36336" x="172.36336"
y="441.11713" y="441.11713"
id="text5483" id="text5483"><tspan
sodipodi:linespacing="125%"><tspan
id="tspan5491" id="tspan5491"
sodipodi:role="line" sodipodi:role="line"
x="172.36336" x="172.36336"
y="441.11713" y="441.11713"
style="font-size:10px;text-align:center;text-anchor:middle">Timetag</tspan></text> style="font-size:10px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle">Timetag</tspan></text>
</g> </g>
</g> </g>
</g> </g>
...@@ -326,7 +421,7 @@ ...@@ -326,7 +421,7 @@
transform="translate(-42.102139,-33.754943)"> transform="translate(-42.102139,-33.754943)">
<g <g
id="g5506" id="g5506"
transform="translate(4.738785,0)" /> transform="translate(4.738785)" />
</g> </g>
<g <g
style="stroke-width:0.5;stroke-miterlimit:4;stroke-dasharray:none" style="stroke-width:0.5;stroke-miterlimit:4;stroke-dasharray:none"
...@@ -340,36 +435,35 @@ ...@@ -340,36 +435,35 @@
height="15" height="15"
width="70" width="70"
id="rect5526" id="rect5526"
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="163.17136" x="163.17136"
y="439.86694" y="439.86694"
id="text5528" id="text5528"><tspan
sodipodi:linespacing="125%"><tspan
id="tspan5530" id="tspan5530"
sodipodi:role="line" sodipodi:role="line"
x="163.17136" x="163.17136"
y="439.86694" y="439.86694"
style="font-size:10px;text-align:center;text-anchor:middle">Manual trig.</tspan></text> style="font-size:10px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle">Manual trig.</tspan></text>
</g> </g>
</g> </g>
<path <path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.56062484;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 309.19685,368.76114 c 5.16063,6.88084 5.16063,10.32125 0,17.20209 12.04146,0 20.64251,0 25.80315,-8.60104 -5.16064,-8.60105 -13.76169,-8.60105 -25.80315,-8.60105 z" d="m 305,367.36219 c 6,7.44042 6,11.16062 0,18.60104 13.99999,0 23.99999,0 30,-9.30052 -6.00001,-9.30052 -16.00001,-9.30052 -30,-9.30052 z"
id="path3936" id="path3936"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" /> sodipodi:nodetypes="cccc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 190,357.36219 105,0 0,17 10,0" d="m 190,357.36219 h 105 v 17 h 10"
id="path5544" id="path5544"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" /> sodipodi:nodetypes="cccc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 275,417.36219 20,0 0,-36 10,0" d="m 275,417.36219 h 20 v -36 h 10"
id="path5546" id="path5546"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" /> sodipodi:nodetypes="cccc" />
...@@ -378,32 +472,31 @@ ...@@ -378,32 +472,31 @@
transform="translate(307.89786,-86.276825)"> transform="translate(307.89786,-86.276825)">
<g <g
id="g5734" id="g5734"
transform="translate(4.738785,0)"> transform="translate(4.738785)">
<g <g
transform="translate(-3.6206055e-6,-5.0000004)" transform="translate(-3.6206055e-6,-5.0000004)"
id="g5736"> id="g5736">
<g <g
id="g5738"> id="g5738">
<rect <rect
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="rect5740" id="rect5740"
width="60.000008" width="60.000008"
height="30.0002" height="30.0002"
x="142.36336" x="142.36336"
y="428.63901" /> y="428.63901" />
<text <text
sodipodi:linespacing="125%"
id="text5742" id="text5742"
y="441.11713" y="441.11713"
x="172.36336" x="172.36336"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
xml:space="preserve"><tspan xml:space="preserve"><tspan
id="tspan5746" id="tspan5746"
style="font-size:10px;text-align:center;text-anchor:middle" style="font-size:10px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle"
y="441.11713" y="441.11713"
x="173.95515" x="174.12117"
sodipodi:role="line">Pulse </tspan><tspan sodipodi:role="line">Pulse </tspan><tspan
style="font-size:10px;text-align:center;text-anchor:middle" style="font-size:10px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle"
y="453.61713" y="453.61713"
x="172.36336" x="172.36336"
sodipodi:role="line" sodipodi:role="line"
...@@ -413,19 +506,79 @@ ...@@ -413,19 +506,79 @@
</g> </g>
</g> </g>
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" style="fill:url(#linearGradient4753);fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 200,357.36219 0,-25 15,0" d="m 200,357.36219 v -25 h 15"
id="path5756" id="path5756"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path5942" id="path5942"
d="m 200,357.36219 0,25 15,0" d="m 200,357.36219 v 25 h 15"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" /> style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path5944" id="path5944"
d="m 435,377.36218 0,-25 15,0" d="m 435,377.36218 v -25 h 15"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" /> style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<g
transform="translate(67.626941,-141.27682)"
id="g5035-4">
<g
transform="translate(4.738785)"
id="g5037-9">
<g
id="g5045-5"
transform="translate(-3.6206055e-6,-5.0000004)">
<g
id="g5069-0">
<rect
y="428.63901"
x="147.63428"
height="30.000158"
width="50.000156"
id="rect5039-1"
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" />
<text
xml:space="preserve"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="172.36336"
y="441.11713"
id="text5041-0"><tspan
id="tspan5043-2"
sodipodi:role="line"
x="172.36336"
y="441.11713"
style="font-size:10px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle">TTL pulse</tspan><tspan
sodipodi:role="line"
x="172.36336"
y="453.61713"
style="font-size:10px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle"
id="tspan5093-4">counter</tspan></text>
</g>
</g>
</g>
</g>
<path
style="fill:url(#linearGradient4753-8);fill-opacity:1;stroke:#000000;stroke-width:0.63245559;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#TriangleOutM-8)"
d="m 200,332.36219 v -40 h 15"
id="path5756-5"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path5029-2"
d="m 150,287.36219 h 65"
style="fill:none;stroke:#000000;stroke-width:0.3933979;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#TriangleOutM-9)" />
<path
inkscape:connector-curvature="0"
id="path5942-4"
d="m 185,297.36219 v 25 h 30"
style="fill:none;stroke:#000000;stroke-width:0.70710677;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#TriangleOutM-4)" />
<path
style="fill:none;fill-rule:evenodd;stroke:#000000;stroke-width:0.68313003px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 185,297.36219 H 150"
id="path4862"
inkscape:connector-type="polyline"
inkscape:connector-curvature="0" />
</g> </g>
</svg> </svg>
...@@ -9,11 +9,11 @@ ...@@ -9,11 +9,11 @@
xmlns="http://www.w3.org/2000/svg" xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd" xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape" xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="671.97711" width="716.77557"
height="420.33862" height="448.36121"
id="svg3599" id="svg3599"
version="1.1" version="1.1"
inkscape:version="0.48.3.1 r9886" inkscape:version="0.92.1 r15371"
sodipodi:docname="pulse-gen.svg"> sodipodi:docname="pulse-gen.svg">
<defs <defs
id="defs3601" /> id="defs3601" />
...@@ -24,16 +24,16 @@ ...@@ -24,16 +24,16 @@
borderopacity="1.0" borderopacity="1.0"
inkscape:pageopacity="0.0" inkscape:pageopacity="0.0"
inkscape:pageshadow="2" inkscape:pageshadow="2"
inkscape:zoom="1.979899" inkscape:zoom="1.4"
inkscape:cx="248.46279" inkscape:cx="144.57974"
inkscape:cy="235.03555" inkscape:cy="226.15605"
inkscape:document-units="px" inkscape:document-units="px"
inkscape:current-layer="layer1" inkscape:current-layer="layer1"
showgrid="true" showgrid="true"
inkscape:window-width="1855" inkscape:window-width="1920"
inkscape:window-height="1176" inkscape:window-height="1138"
inkscape:window-x="65" inkscape:window-x="-8"
inkscape:window-y="24" inkscape:window-y="-8"
inkscape:window-maximized="1" inkscape:window-maximized="1"
fit-margin-top="0" fit-margin-top="0"
fit-margin-left="0" fit-margin-left="0"
...@@ -49,10 +49,10 @@ ...@@ -49,10 +49,10 @@
enabled="true" enabled="true"
snapvisiblegridlinesonly="true" snapvisiblegridlinesonly="true"
units="mm" units="mm"
spacingx="0.5mm" spacingx="1.8897638"
spacingy="0.5mm" spacingy="1.8897638"
originx="-33.036796mm" originx="-124.86348"
originy="-194.43554mm" /> originy="-734.87448" />
</sodipodi:namedview> </sodipodi:namedview>
<metadata <metadata
id="metadata3604"> id="metadata3604">
...@@ -70,28 +70,25 @@ ...@@ -70,28 +70,25 @@
inkscape:label="Layer 1" inkscape:label="Layer 1"
inkscape:groupmode="layer" inkscape:groupmode="layer"
id="layer1" id="layer1"
transform="translate(-117.05951,56.921307)"> transform="translate(-124.86348,60.716061)">
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 51.247573,205.74014 35.433071,0 0,15.94488 8.858268,0" d="m 179.52756,158.74009 h 37.79527 v 17.00787 h 9.44882"
id="path3921" id="path3921"
inkscape:connector-curvature="0" inkscape:connector-curvature="0" />
transform="translate(117.05951,-56.921307)" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 51.247573,241.17321 35.433071,0 0,-12.40157 8.858268,0" d="m 179.52756,196.53536 h 37.79527 v -13.22834 h 9.44882"
id="path3923" id="path3923"
inkscape:connector-curvature="0" inkscape:connector-curvature="0" />
transform="translate(117.05951,-56.921307)" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 51.247573,276.60628 53.149607,0 0,-23.03149 17.71653,0" d="m 179.52756,234.33064 h 56.69291 v -24.56692 h 18.89763"
id="path3905" id="path3905"
inkscape:connector-curvature="0" inkscape:connector-curvature="0" />
transform="translate(117.05951,-56.921307)" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 655.51181,24.803102 62.00787,0" d="m 699.2126,26.456642 h 66.14173"
id="path3922" id="path3922"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
...@@ -99,886 +96,716 @@ ...@@ -99,886 +96,716 @@
sodipodi:nodetypes="cc" sodipodi:nodetypes="cc"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path3914" id="path3914"
d="m 168.30708,-10.629969 549.2126,0" d="M 179.52755,-11.338634 H 765.35433"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" /> style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="162.99213" x="173.85826"
y="223.22829" y="238.11017"
id="text4395" id="text4395"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan4397" id="tspan4397"
x="162.99213" x="173.85826"
y="223.22829" y="238.11017"
style="text-align:end;text-anchor:end">trig_a_i</tspan></text> style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end;stroke-width:1.06666672">trig_a_i</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 372.04724,219.68502 35.43307,0"
id="path4401"
inkscape:connector-curvature="0" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path4403" id="path4403"
d="m 460.62992,219.68502 26.5748,-3e-5" d="m 491.33858,234.33069 28.34645,-3e-5"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<g
id="g4413">
<rect
style="opacity:0.98999999;fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4383"
width="53.149605"
height="88.58268"
x="318.89764"
y="201.96848" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 318.89765,269.29132 10.62992,7.08661 -10.62992,7.08662"
id="path4385"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="322.44095"
y="223.22832"
id="text4405"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4407"
x="322.44095"
y="223.22832">Q</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4409"
y="223.22832"
x="361.41733"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="223.22832"
x="361.41733"
id="tspan4411"
sodipodi:role="line">D</tspan></text>
</g>
<rect <rect
style="opacity:0.98999999;fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" style="opacity:0.98999999;fill:none;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
id="rect4423" id="rect4423"
width="53.149605" width="56.692913"
height="88.58268" height="94.48819"
x="239.17323" x="255.11812"
y="122.24406" /> y="130.39366" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 239.17323,189.5669 10.62992,7.08661 -10.62992,7.08662" d="m 255.11811,202.20469 11.33858,7.55905 -11.33858,7.55907"
id="path4425" id="path4425"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="242.71654" x="258.89764"
y="147.0472" y="156.85034"
id="text4427" id="text4427"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan4429" id="tspan4429"
x="242.71654" x="258.89764"
y="147.0472">Q</tspan></text> y="156.85034"
style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">Q</tspan></text>
<text <text
sodipodi:linespacing="125%"
id="text4431" id="text4431"
y="147.0472" y="156.85034"
x="281.69293" x="300.47247"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
y="147.0472" y="156.85034"
x="281.69293" x="300.47247"
id="tspan4433" id="tspan4433"
sodipodi:role="line">D</tspan></text> sodipodi:role="line"
<g style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">D</tspan></text>
transform="translate(88.582672,3.9048082e-6)" <text
id="g4435">
<rect
style="opacity:0.98999999;fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4437"
width="53.149605"
height="88.58268"
x="318.89764"
y="201.96848" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 318.89765,269.29132 10.62992,7.08661 -10.62992,7.08662"
id="path4439"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="322.44095"
y="223.22832"
id="text4441"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4443"
x="322.44095"
y="223.22832">Q</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4445"
y="223.22832"
x="361.41733"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="223.22832"
x="361.41733"
id="tspan4447"
sodipodi:role="line">D</tspan></text>
</g>
<text
sodipodi:linespacing="125%"
id="text4465" id="text4465"
y="122.24403" y="130.39363"
x="212.59842" x="226.77165"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
y="122.24403" y="130.39363"
x="212.59842" x="226.77165"
id="tspan4467" id="tspan4467"
sodipodi:role="line" sodipodi:role="line"
style="text-align:end;text-anchor:end">'1'</tspan></text> style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end;stroke-width:1.06666672">'1'</tspan></text>
<path <path
sodipodi:nodetypes="ccccc" sodipodi:nodetypes="ccccc"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path3095" id="path3095"
d="m 593.50393,129.33067 0,70.86614 15.94488,-7.08662 0,-56.69291 z" d="m 633.07086,137.95271 v 75.59055 l 17.00787,-7.55906 v -60.47243 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" />
<text <text
sodipodi:linespacing="125%"
id="text5331" id="text5331"
y="187.79523" y="200.31491"
x="598.81891" x="638.74017"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:10px" style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672"
y="187.79523" y="200.31491"
x="598.81891" x="638.74017"
id="tspan5333" id="tspan5333"
sodipodi:role="line">0</tspan></text> sodipodi:role="line">0</tspan></text>
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="598.90924" x="638.83655"
y="147.0472" y="156.85034"
id="text5335" id="text5335"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan5337" id="tspan5337"
x="598.90924" x="638.83655"
y="147.0472" y="156.85034"
style="font-size:10px">1</tspan></text> style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">1</tspan></text>
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="255.85788" x="272.91507"
y="131.10231" y="139.84247"
id="text4523" id="text4523"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan4525" id="tspan4525"
x="255.85788" x="272.91507"
y="131.10231">CLR</tspan></text> y="139.84247"
style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">CLR</tspan></text>
<rect <rect
style="opacity:0.98999999;fill:#000000;fill-opacity:0.1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" style="opacity:0.98999999;fill:#000000;fill-opacity:0.1;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
id="rect4527" id="rect4527"
width="79.724419" width="85.039383"
height="115.15749" height="122.83466"
x="487.20471" x="519.685"
y="175.39365" /> y="187.08656" />
<text <text
sodipodi:linespacing="125%"
id="text4529" id="text4529"
y="187.79523" y="200.31491"
x="565.15747" x="602.83466"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
y="187.79523" y="200.31491"
x="565.15747" x="602.83466"
id="tspan4531" id="tspan4531"
sodipodi:role="line" sodipodi:role="line"
style="text-align:end;text-anchor:end">OGFon</tspan></text> style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end;stroke-width:1.06666672">OGFon</tspan></text>
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="565.15747" x="602.83466"
y="223.2283" y="238.11018"
id="text4533" id="text4533"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan4535" id="tspan4535"
x="565.15747" x="602.83466"
y="223.2283" y="238.11018"
style="text-align:end;text-anchor:end">OGFoff</tspan></text> style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end;stroke-width:1.06666672">OGFoff</tspan></text>
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path4564" id="path4564"
d="m 487.20467,269.29129 10.62992,7.08661 -10.62992,7.08662" d="m 519.68498,287.24404 11.33858,7.55905 -11.33858,7.55906"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 318.89764,276.37793 -150.59056,0" d="M 340.15748,294.80313 H 179.52755"
id="path4566" id="path4566"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 310.03937,276.37793 0,31.88974 168.30708,0 0,-31.88974 8.85827,0" d="m 330.70866,294.80313 v 34.01572 h 179.52755 v -34.01572 h 9.44882"
id="path4568" id="path4568"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="ccccc" /> sodipodi:nodetypes="ccccc" />
<path <circle
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 398.62205,308.26767 0,-31.88974 8.85826,0"
id="path4608"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
transform="translate(288.63881,324.98417)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path4614" id="path4614"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
sodipodi:type="arc" /> cx="425.19684"
<path cy="328.81885"
transform="translate(200.05613,293.09443)" r="1.8897637" />
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z" <circle
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path4618" id="path4618"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
sodipodi:type="arc" /> cx="330.70865"
cy="294.80313"
r="1.8897637" />
<text <text
sodipodi:linespacing="125%"
id="text4622" id="text4622"
y="279.9212" y="298.58261"
x="162.99213" x="173.85826"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
y="279.9212" y="298.58261"
x="162.99213" x="173.85826"
id="tspan4624" id="tspan4624"
sodipodi:role="line" sodipodi:role="line"
style="text-align:end;text-anchor:end">clk_20_i</tspan></text> style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end;stroke-width:1.06666672">clk_20_i</tspan></text>
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="488.97638" x="521.57483"
y="223.2283" y="238.11018"
id="text4626" id="text4626"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan4628" id="tspan4628"
x="488.97638" x="521.57483"
y="223.2283">SGFoff</tspan></text> y="238.11018"
style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">SGFoff</tspan></text>
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 609.44882,164.76374 108.07086,0" d="M 650.07874,175.74799 H 765.35433"
id="path4720" id="path4720"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<text <text
sodipodi:linespacing="125%"
id="text4722" id="text4722"
y="167.38336" y="178.54225"
x="721.06299" x="769.13385"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
y="167.38336" y="178.54225"
x="721.06299" x="769.13385"
id="tspan4724" id="tspan4724"
sodipodi:role="line">pulse_o</tspan></text> sodipodi:role="line"
style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">pulse_o</tspan></text>
<text <text
sodipodi:linespacing="125%"
id="text15558" id="text15558"
y="171.85033" y="183.30702"
x="516.43378" x="550.86267"
style="font-size:10.340312px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
y="171.85033" y="183.30702"
x="516.43378" x="550.86267"
id="tspan15560" id="tspan15560"
sodipodi:role="line">FSM</tspan></text> sodipodi:role="line"
style="font-size:11.02966595px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">FSM</tspan></text>
<text <text
sodipodi:linespacing="125%"
id="text16076" id="text16076"
y="187.79521" y="200.3149"
x="488.97638" x="521.57483"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
y="187.79521" y="200.3149"
x="488.97638" x="521.57483"
id="tspan16078" id="tspan16078"
sodipodi:role="line">SGFon</tspan></text> sodipodi:role="line"
style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">SGFon</tspan></text>
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 566.92912,184.25192 26.57482,0" d="m 604.72439,196.53538 h 28.34648"
id="path16096" id="path16096"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 602.36221,132.87397 0,-10.62995 -31.88976,0" d="M 642.51969,141.73223 V 130.39362 H 608.50395"
id="path16100" id="path16100"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" /> sodipodi:nodetypes="ccc" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="566.22345" x="603.97168"
y="125.78732" y="134.17314"
id="text16102" id="text16102"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan16104" id="tspan16104"
x="566.22345" x="603.97168"
y="125.78732" y="134.17314"
style="text-align:end;text-anchor:end">gf_en_n_i</tspan></text> style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end;stroke-width:1.06666672">gf_en_n_i</tspan></text>
<text <text
style="font-size:15px" style="font-size:12.80000019px;line-height:0%;stroke-width:1.06666672"
id="text424" id="text424"
x="487.20471" x="519.685"
y="308.26767"> y="328.81885">
<tspan <tspan
id="tspan426" id="tspan426"
sodipodi:role="line" sodipodi:role="line"
y="308.26767" y="328.81885"
x="487.20471 492.96472 499.52469" x="519.685 525.82904 532.82635"
style="font-size:8px;font-variant:normal;font-weight:bold;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans Bold;-inkscape-font-specification:DejaVuSans-Bold">SGFon</tspan> style="font-variant:normal;font-weight:bold;font-size:8.53333378px;line-height:1.25;font-family:'DejaVu Sans Bold';-inkscape-font-specification:DejaVuSans-Bold;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;stroke-width:1.06666672">SGFon</tspan>
</text> </text>
<text <text
style="font-size:15px" style="font-size:12.80000019px;line-height:0%;stroke-width:1.06666672"
id="text428" id="text428"
x="520.86615" x="555.59058"
y="308.26767"> y="328.81885">
<tspan <tspan
id="tspan430" id="tspan430"
sodipodi:role="line" sodipodi:role="line"
y="308.26767" y="328.81885"
x="520.86615 523.75415 526.29016 531.36212 534.5061 539.40216 542.69812 545.84216 548.37811 554.92212 559.99414 564.91412 569.98615 572.52216 577.59412 579.81012 582.01813 585.16217 589.56213 594.63416 597.1701 602.21014 604.42615 607.56213 612.48212 615.77814 618.32214 620.53015 624.69812 627.23413 632.15411 637.22614 642.13013 647.20209 649.4101 654.33008" x="555.59058 558.67108 561.37616 566.78625 570.13983 575.3623 578.87799 582.23163 584.93665 591.91693 597.32709 602.57507 607.98523 610.69031 616.1004 618.46411 620.81934 624.17297 628.86627 634.27643 636.98145 642.35748 644.72125 648.06628 653.31427 656.83002 659.54364 661.8988 666.34467 669.04974 674.29773 679.70789 684.93878 690.34888 692.7041 697.95209"
style="font-size:8px;font-variant:normal;font-weight:normal;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans;-inkscape-font-specification:DejaVuSans">- Start when glitch filter is enabled</tspan> style="font-variant:normal;font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;stroke-width:1.06666672">- Start when glitch filter is enabled</tspan>
</text> </text>
<text <text
style="font-size:15px" style="font-size:12.80000019px;line-height:0%;stroke-width:1.06666672"
id="text432" id="text432"
x="487.20471" x="519.685"
y="299.40939"> y="319.37003">
<tspan <tspan
id="tspan434" id="tspan434"
sodipodi:role="line" sodipodi:role="line"
y="299.40939" y="319.37003"
x="487.20471 492.96472 499.52469" x="519.685 525.82904 532.82635"
style="font-size:8px;font-variant:normal;font-weight:bold;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans Bold;-inkscape-font-specification:DejaVuSans-Bold">SGFoff</tspan> style="font-variant:normal;font-weight:bold;font-size:8.53333378px;line-height:1.25;font-family:'DejaVu Sans Bold';-inkscape-font-specification:DejaVuSans-Bold;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;stroke-width:1.06666672">SGFoff</tspan>
</text> </text>
<text <text
style="font-size:15px" style="font-size:12.80000019px;line-height:0%;stroke-width:1.06666672"
id="text436" id="text436"
x="520.86615" x="555.59058"
y="299.40939"> y="319.37003">
<tspan <tspan
id="tspan438" id="tspan438"
sodipodi:role="line" sodipodi:role="line"
y="299.40939" y="319.37003"
x="520.86615 523.75415 526.29016 531.36212 534.5061 539.40216 542.69812 545.84216 548.37811 554.92212 559.99414 564.91412 569.98615 572.52216 577.59412 579.81012 582.01813 585.16217 589.56213 594.63416 597.1701 602.21014 604.42615 607.56213 612.48212 615.77814 618.32214 620.53015 624.69812 627.23413 632.30609 634.52209 638.68213 643.58612 648.65808 650.86615 655.78607" x="555.59058 558.67108 561.37616 566.78625 570.13983 575.3623 578.87799 582.23163 584.93665 591.91693 597.32709 602.57507 607.98523 610.69031 616.1004 618.46411 620.81934 624.17297 628.86627 634.27643 636.98145 642.35748 644.72125 648.06628 653.31427 656.83002 659.54364 661.8988 666.34467 669.04974 674.45984 676.82355 681.26093 686.49188 691.90198 694.2572 699.50513"
style="font-size:8px;font-variant:normal;font-weight:normal;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans;-inkscape-font-specification:DejaVuSans">- Start when glitch filter is disabled</tspan> style="font-variant:normal;font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;stroke-width:1.06666672">- Start when glitch filter is disabled</tspan>
</text> </text>
<text <text
style="font-size:15px" style="font-size:12.80000019px;line-height:0%;stroke-width:1.06666672"
id="text440" id="text440"
x="487.20471" x="519.685"
y="325.98419"> y="347.71646">
<tspan <tspan
id="tspan442" id="tspan442"
sodipodi:role="line" sodipodi:role="line"
y="325.98419" y="347.71646"
x="487.20471 494.00473 500.5647" x="519.685 526.93835 533.93567"
style="font-size:8px;font-variant:normal;font-weight:bold;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans Bold;-inkscape-font-specification:DejaVuSans-Bold">OGFon</tspan> style="font-variant:normal;font-weight:bold;font-size:8.53333378px;line-height:1.25;font-family:'DejaVu Sans Bold';-inkscape-font-specification:DejaVuSans-Bold;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;stroke-width:1.06666672">OGFon</tspan>
</text> </text>
<text <text
style="font-size:15px" style="font-size:12.80000019px;line-height:0%;stroke-width:1.06666672"
id="text444" id="text444"
x="520.86615" x="555.59058"
y="325.98419"> y="347.71646">
<tspan <tspan
id="tspan446" id="tspan446"
sodipodi:role="line" sodipodi:role="line"
y="325.98419" y="347.71646"
x="520.86615 523.74615 526.28217 532.57819 537.65015 540.79413 545.86615 550.93817 554.07416 556.61816 563.15417 568.2262 573.14618 578.21814 580.76215 585.83417 588.04218 590.25812 593.39417 597.79413 602.86615 605.41016 610.45013 612.65814 615.80219 620.72217 624.01813 626.55414 628.77014 632.93011 635.47418 640.3941 645.46613 650.36218 655.43414 657.65015 662.57013" x="555.59058 558.66254 561.36761 568.08337 573.49347 576.84705 582.2572 587.66736 591.01245 593.72601 600.69781 606.10797 611.3559 616.76599 619.47961 624.88977 627.245 629.60864 632.9538 637.64709 643.05725 645.77081 651.14679 653.50201 656.85565 662.10364 665.61932 668.3244 670.68817 675.12543 677.83911 683.08704 688.49719 693.71967 699.12976 701.49347 706.74146"
style="font-size:8px;font-variant:normal;font-weight:normal;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans;-inkscape-font-specification:DejaVuSans">- Output when glitch filter is enabled</tspan> style="font-variant:normal;font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;stroke-width:1.06666672">- Output when glitch filter is enabled</tspan>
<tspan <tspan
sodipodi:role="line" sodipodi:role="line"
y="325.98419" y="347.71646 347.71646"
x="520.86615 523.74615 526.28217 532.57819 537.65015 540.79413 545.86615 550.93817 554.07416 556.61816 563.15417 568.2262 573.14618 578.21814 580.76215 585.83417 588.04218 590.25812 593.39417 597.79413 602.86615 605.41016 610.45013 612.65814 615.80219 620.72217 624.01813 626.55414 628.77014 632.93011 635.47418 640.3941 645.46613 650.36218 655.43414 657.65015 662.57013" x="555.59058 555.59058 558.66254 561.36761 568.08337 573.49347 576.84705 582.2572 587.66736 591.01245 593.72601 600.69781 606.10797 611.3559 616.76599 619.47961 624.88977 627.245 629.60864 632.9538 637.64709 643.05725 645.77081 651.14679 653.50201 656.85565 662.10364 665.61932 668.3244 670.68817 675.12543 677.83911 683.08704 688.49719 693.71967 699.12976 701.49347 706.74146"
style="font-size:8px;font-variant:normal;font-weight:normal;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans;-inkscape-font-specification:DejaVuSans" style="font-variant:normal;font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;stroke-width:1.06666672"
id="tspan3115" /> id="tspan3115"> </tspan>
</text> </text>
<text <text
style="font-size:15px" style="font-size:12.80000019px;line-height:0%;stroke-width:1.06666672"
id="text448" id="text448"
x="487.20471" x="519.685"
y="317.12592"> y="338.26764">
<tspan <tspan
id="tspan450" id="tspan450"
sodipodi:role="line" sodipodi:role="line"
y="317.12592" y="338.26764"
x="487.20471 494.00473 500.5647" x="519.685 526.93835 533.93567"
style="font-size:8px;font-variant:normal;font-weight:bold;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans Bold;-inkscape-font-specification:DejaVuSans-Bold">OGFoff</tspan> style="font-variant:normal;font-weight:bold;font-size:8.53333378px;line-height:1.25;font-family:'DejaVu Sans Bold';-inkscape-font-specification:DejaVuSans-Bold;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;stroke-width:1.06666672">OGFoff</tspan>
</text> </text>
<text <text
style="font-size:15px" style="font-size:12.80000019px;line-height:0%;stroke-width:1.06666672"
id="text452" id="text452"
x="520.86615" x="555.59058"
y="317.12592"> y="338.26764">
<tspan <tspan
id="tspan454" id="tspan454"
sodipodi:role="line" sodipodi:role="line"
y="317.12592" y="338.26764"
x="520.86615 523.74615 526.28217 532.57819 537.65015 540.79413 545.86615 550.93817 554.07416 556.61816 563.15417 568.2262 573.14618 578.21814 580.76215 585.83417 588.04218 590.25812 593.39417 597.79413 602.86615 605.41016 610.45013 612.65814 615.80219 620.72217 624.01813 626.55414 628.77014 632.93011 635.47418 640.54614 642.75415 646.92212 651.81818 656.89014 659.10614 664.02612" x="555.59058 558.66254 561.36761 568.08337 573.49347 576.84705 582.2572 587.66736 591.01245 593.72601 600.69781 606.10797 611.3559 616.76599 619.47961 624.88977 627.245 629.60864 632.9538 637.64709 643.05725 645.77081 651.14679 653.50201 656.85565 662.10364 665.61932 668.3244 670.68817 675.12543 677.83911 683.24921 685.60443 690.05023 695.27271 700.6828 703.04657 708.29456"
style="font-size:8px;font-variant:normal;font-weight:normal;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans;-inkscape-font-specification:DejaVuSans">- Output when glitch filter is disabled</tspan> style="font-variant:normal;font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;stroke-width:1.06666672">- Output when glitch filter is disabled</tspan>
</text> </text>
<text <text
sodipodi:linespacing="125%"
id="text3071" id="text3071"
y="171.85034" y="183.30704"
x="242.71654" x="258.89764"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
y="171.85034" y="183.30704"
x="242.71654" x="258.89764"
id="tspan3073" id="tspan3073"
sodipodi:role="line">EN</tspan></text> sodipodi:role="line"
style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">EN</tspan></text>
<text <text
sodipodi:linespacing="125%"
id="text3077" id="text3077"
y="187.79521" y="200.3149"
x="162.99213" x="173.85826"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
y="187.79521" y="200.3149"
x="162.99213" x="173.85826"
id="tspan3079" id="tspan3079"
sodipodi:role="line" sodipodi:role="line"
style="text-align:end;text-anchor:end">gf_en_n_i</tspan></text> style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end;stroke-width:1.06666672">gf_en_n_i</tspan></text>
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="322.44092" x="343.93698"
y="249.80312" y="266.45667"
id="text3081" id="text3081"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan3083" id="tspan3083"
x="322.44092" x="343.93698"
y="249.80312">EN</tspan></text> y="266.45667"
style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">EN</tspan></text>
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path3085" id="path3085"
d="m 310.03937,246.2598 8.85826,0" d="m 330.70866,262.67712 h 9.44881"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="262.20471" x="279.68503"
y="260.43301" y="277.79523"
id="text3087" id="text3087"><tspan
sodipodi:linespacing="125%"><tspan style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end;stroke-width:1.06666672"
style="text-align:end;text-anchor:end"
sodipodi:role="line" sodipodi:role="line"
id="tspan3089" id="tspan3089"
x="262.20471" x="279.68503"
y="260.43301">gf_en_n_i</tspan></text> y="277.79523">gf_en_n_i</tspan></text>
<text <text
sodipodi:linespacing="125%"
id="text3091" id="text3091"
y="249.80312" y="266.45667"
x="411.02362" x="438.4252"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
y="249.80312" y="266.45667"
x="411.02362" x="438.4252"
id="tspan3093" id="tspan3093"
sodipodi:role="line">EN</tspan></text> sodipodi:role="line"
<path style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">EN</tspan></text>
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 372.04723,246.2598 35.43308,0"
id="path3101"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 436.19937,85.550414 -301.1811,0" d="M 633.07087,153.07082 H 311.81103"
id="path3903" id="path3903"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
transform="translate(157.30457,57.953476)"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<path <circle
transform="translate(200.05613,160.22039)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path3907" id="path3907"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.53333336;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
sodipodi:type="arc" /> cx="330.70865"
cy="153.07082"
r="1.8897637" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 152.7348,85.550414 0,76.181106 8.85827,0" d="m 330.70866,153.07082 v 81.25984 h 9.44882"
id="path3909" id="path3909"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
transform="translate(157.30457,57.953476)"
sodipodi:nodetypes="ccc" /> sodipodi:nodetypes="ccc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 409.62456,161.73152 62.00787,0 0,-115.157484 -363.18897,0 0,17.716536" d="m 604.72441,234.33066 h 66.14172 V 111.49601 H 283.46457 v 18.89764"
id="path3911" id="path3911"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
transform="translate(157.30457,57.953476)"
sodipodi:nodetypes="ccccc" /> sodipodi:nodetypes="ccccc" />
<text <text
sodipodi:linespacing="125%"
id="text3913" id="text3913"
y="186.02357" y="198.42514"
x="395.07874" x="421.41733"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="text-align:end;text-anchor:end" style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end;stroke-width:1.06666672"
y="186.02357" y="198.42514"
x="395.07874" x="421.41733"
id="tspan3915" id="tspan3915"
sodipodi:role="line">trig_a_i</tspan></text> sodipodi:role="line">trig_a_i</tspan></text>
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 232.4592,80.22441 88.58268,0" d="m 425.19684,196.53538 h 94.48819"
id="path3917" id="path3917"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
transform="translate(166.16284,104.02751)"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<text <text
sodipodi:linespacing="125%"
id="text3099" id="text3099"
y="249.8031" y="266.45663"
x="565.15747" x="602.83466"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="text-align:end;text-anchor:end" style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end;stroke-width:1.06666672"
y="249.8031" y="266.45663"
x="565.15747" x="602.83466"
id="tspan3101" id="tspan3101"
sodipodi:role="line">ERROR</tspan></text> sodipodi:role="line">ERROR</tspan></text>
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="721.06299" x="769.13385"
y="249.8031" y="266.45663"
id="text3109" id="text3109"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan3111" id="tspan3111"
x="721.06299" x="769.13385"
y="249.8031">pulse_err_p_o</tspan></text> y="266.45663"
style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">pulse_err_p_o</tspan></text>
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 566.92913,246.25979 150.59055,0" d="M 604.72441,262.67711 H 765.35433"
id="path3113" id="path3113"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<text <text
y="336.61414" y="359.05508"
x="487.20471" x="519.685"
id="text3117" id="text3117"
style="font-size:15px"> style="font-size:12.80000019px;line-height:0%;stroke-width:1.06666672">
<tspan <tspan
style="font-size:8px;font-variant:normal;font-weight:bold;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans Bold;-inkscape-font-specification:DejaVuSans-Bold" style="font-variant:normal;font-weight:bold;font-size:8.53333378px;line-height:1.25;font-family:'DejaVu Sans Bold';-inkscape-font-specification:DejaVuSans-Bold;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;stroke-width:1.06666672"
sodipodi:role="line" sodipodi:role="line"
id="tspan3119" id="tspan3119"
x="487.20471" x="519.685"
y="336.61414">ERROR</tspan> y="359.05508">ERROR</tspan>
</text> </text>
<text <text
y="336.61414" y="359.05508"
x="520.86615" x="555.59058"
id="text3137" id="text3137"
style="font-size:15px"> style="font-size:12.80000019px;line-height:0%;stroke-width:1.06666672">
<tspan <tspan
id="tspan3141" id="tspan3141"
style="font-size:8px;font-variant:normal;font-weight:normal;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans;-inkscape-font-specification:DejaVuSans" style="font-variant:normal;font-weight:normal;font-size:8.53333378px;line-height:1.25;font-family:'DejaVu Sans';-inkscape-font-specification:DejaVuSans;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;stroke-width:1.06666672"
x="520.86615 520.86615 520.86615 520.86615 523.74615 526.28217 532.57819 537.65015 540.79413 545.86615 550.93817 554.07416 556.61816 563.15417 568.2262 573.14618 578.21814 580.76215 585.83417 588.04218 590.25812 593.39417 597.79413 602.86615 605.41016 610.45013 612.65814 615.80219 620.72217 624.01813 626.55414 628.77014 632.93011 635.47418 640.3941 645.46613 650.36218 655.43414 657.65015 662.57013" x="555.59058 555.59058 555.59058 555.59058 555.59058 558.66254 561.36761 568.08337 573.49347 576.84705 582.2572 587.66736 591.01245 593.72601 600.69781 606.10797 611.3559 616.76599 619.47961 624.88977 627.245 629.60864 632.9538 637.64709 643.05725 645.77081 651.14679 653.50201 656.85565 662.10364 665.61932 668.3244 670.68817 675.12543 677.83911 683.08704 688.49719 693.71967 699.12976 701.49347 706.74146"
y="336.61414 336.61414 336.61414 336.61414" y="359.05508 359.05508 359.05508 359.05508 359.05508"
sodipodi:role="line" /> sodipodi:role="line"> </tspan>
</text> </text>
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="520.86615" x="555.59058"
y="336.61414" y="359.05508"
id="text3145" id="text3145"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan3147" id="tspan3147"
x="520.86615" x="555.59058"
y="336.61414" y="359.05508"
style="font-size:8px">- Pulse arrived within one pulse period of</tspan><tspan style="font-size:8.53333378px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">- Pulse arrived within one pulse period of</tspan><tspan
sodipodi:role="line" sodipodi:role="line"
x="520.86615" x="555.59058"
y="346.61414" y="369.72174"
style="font-size:8px" style="font-size:8.53333378px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672"
id="tspan3149">a previous pulse</tspan></text> id="tspan3149">a previous pulse</tspan></text>
<rect <rect
style="fill:none;stroke:#000000;stroke-width:4;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:4.26666689;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="rect3115" id="rect3115"
width="513.77954" width="548.03149"
height="292.32288" height="311.81107"
x="19.860779" x="198.42519"
y="-34.933071" y="73.700737" />
transform="translate(166.16284,104.02751)" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="323.78058" x="345.36594"
y="86.810974" y="92.598373"
id="text3885" id="text3885"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan3887" id="tspan3887"
x="323.78058" x="345.36594"
y="86.810974" y="92.598373"
style="font-size:16px;font-weight:bold">g_pgen_fixed_width = true</tspan></text> style="font-weight:bold;font-size:17.06666756px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">g_pgen_fixed_width = true</tspan></text>
<rect <rect
y="-54.921307" y="-58.582726"
x="186.02362" x="198.4252"
height="97.440926" height="103.93699"
width="513.77954" width="548.03149"
id="rect3889" id="rect3889"
style="fill:none;stroke:#000000;stroke-width:4;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> style="fill:none;stroke:#000000;stroke-width:4.26666689;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="721.06299" x="769.13385"
y="-8.8583155" y="-9.4488697"
id="text3891" id="text3891"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan3893" id="tspan3893"
x="721.06299" x="769.13385"
y="-8.8583155">pulse_o</tspan></text> y="-9.4488697"
style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">pulse_o</tspan></text>
<text <text
sodipodi:linespacing="125%"
id="text3895" id="text3895"
y="-8.8583155" y="-9.4488697"
x="162.99213" x="173.85826"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="text-align:end;text-anchor:end" style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end;stroke-width:1.06666672"
y="-8.8583155" y="-9.4488697"
x="162.99213" x="173.85826"
id="tspan3897" id="tspan3897"
sodipodi:role="line">trig_a_i</tspan></text> sodipodi:role="line">trig_a_i</tspan></text>
<path <circle
sodipodi:type="arc" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1.06666672;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3899" id="path3899"
sodipodi:cx="20.746605" cx="198.42519"
sodipodi:cy="64.279526" cy="196.53537"
sodipodi:rx="3.5433037" r="3.7795241" />
sodipodi:ry="3.5433037" <circle
d="m 24.289909,64.279526 a 3.5433037,3.5433037 0 1 1 -7.086608,0 3.5433037,3.5433037 0 1 1 7.086608,0 z"
transform="translate(165.27701,119.97238)" />
<path
transform="translate(165.27701,155.40545)"
d="m 24.289909,64.279526 a 3.5433037,3.5433037 0 1 1 -7.086608,0 3.5433037,3.5433037 0 1 1 7.086608,0 z"
sodipodi:ry="3.5433037"
sodipodi:rx="3.5433037"
sodipodi:cy="64.279526"
sodipodi:cx="20.746605"
id="path3901" id="path3901"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1.06666672;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="198.42519"
<path cy="234.33064"
sodipodi:type="arc" r="3.7795241" />
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" <circle
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1.06666672;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path3904" id="path3904"
sodipodi:cx="20.746605" cx="198.42519"
sodipodi:cy="64.279526" cy="294.8031"
sodipodi:rx="3.5433037" r="3.7795241" />
sodipodi:ry="3.5433037" <circle
d="m 24.289909,64.279526 c 0,1.956912 -1.586391,3.543303 -3.543304,3.543303 -1.956913,0 -3.543304,-1.586391 -3.543304,-3.543303 0,-1.956913 1.586391,-3.543304 3.543304,-3.543304 1.956913,0 3.543304,1.586391 3.543304,3.543304 z"
transform="translate(165.27701,212.09838)" />
<path
transform="translate(679.05654,100.48421)"
d="m 24.289909,64.279526 c 0,1.956912 -1.586391,3.543303 -3.543304,3.543303 -1.956913,0 -3.543304,-1.586391 -3.543304,-3.543303 0,-1.956913 1.586391,-3.543304 3.543304,-3.543304 1.956913,0 3.543304,1.586391 3.543304,3.543304 z"
sodipodi:ry="3.5433037"
sodipodi:rx="3.5433037"
sodipodi:cy="64.279526"
sodipodi:cx="20.746605"
id="path3906" id="path3906"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1.06666672;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="746.45667"
<path cy="175.74799"
sodipodi:type="arc" r="3.7795241" />
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" <circle
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1.06666672;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path3908" id="path3908"
sodipodi:cx="20.746605" cx="746.45667"
sodipodi:cy="64.279526" cy="262.67712"
sodipodi:rx="3.5433037" r="3.7795241" />
sodipodi:ry="3.5433037" <circle
d="m 24.289909,64.279526 c 0,1.956912 -1.586391,3.543303 -3.543304,3.543303 -1.956913,0 -3.543304,-1.586391 -3.543304,-3.543303 0,-1.956913 1.586391,-3.543304 3.543304,-3.543304 1.956913,0 3.543304,1.586391 3.543304,3.543304 z"
transform="translate(679.05654,181.98027)" />
<path
transform="translate(679.05654,-74.909494)"
d="m 24.289909,64.279526 c 0,1.956912 -1.586391,3.543303 -3.543304,3.543303 -1.956913,0 -3.543304,-1.586391 -3.543304,-3.543303 0,-1.956913 1.586391,-3.543304 3.543304,-3.543304 1.956913,0 3.543304,1.586391 3.543304,3.543304 z"
sodipodi:ry="3.5433037"
sodipodi:rx="3.5433037"
sodipodi:cy="64.279526"
sodipodi:cx="20.746605"
id="path3910" id="path3910"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1.06666672;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="746.45667"
<path cy="-11.338633"
sodipodi:type="arc" r="3.7795241" />
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" <circle
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1.06666672;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path3912" id="path3912"
sodipodi:cx="20.746605" cx="198.42519"
sodipodi:cy="64.279526" cy="-11.338633"
sodipodi:rx="3.5433037" r="3.7795241" />
sodipodi:ry="3.5433037" <text
d="m 24.289909,64.279526 c 0,1.956912 -1.586391,3.543303 -3.543304,3.543303 -1.956913,0 -3.543304,-1.586391 -3.543304,-3.543303 0,-1.956913 1.586391,-3.543304 3.543304,-3.543304 1.956913,0 3.543304,1.586391 3.543304,3.543304 z"
transform="translate(165.27701,-74.909494)" />
<text
sodipodi:linespacing="125%"
id="text3916" id="text3916"
y="28.346409" y="30.23617"
x="721.06299" x="769.13385"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
y="28.346409" y="30.23617"
x="721.06299" x="769.13385"
id="tspan3918" id="tspan3918"
sodipodi:role="line">pulse_err_o</tspan></text> sodipodi:role="line"
<path style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">pulse_err_o</tspan></text>
sodipodi:type="arc" <circle
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1.06666672;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
id="path3920" id="path3920"
sodipodi:cx="20.746605" cx="746.45667"
sodipodi:cy="64.279526" cy="26.456642"
sodipodi:rx="3.5433037" r="3.7795241" />
sodipodi:ry="3.5433037"
d="m 24.289909,64.279526 c 0,1.956912 -1.586391,3.543303 -3.543304,3.543303 -1.956913,0 -3.543304,-1.586391 -3.543304,-3.543303 0,-1.956913 1.586391,-3.543304 3.543304,-3.543304 1.956913,0 3.543304,1.586391 3.543304,3.543304 z"
transform="translate(679.05654,-39.476424)" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="639.56696" x="682.20477"
y="28.346409" y="30.23617"
id="text3924" id="text3924"><tspan
sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan3926" id="tspan3926"
x="639.56696" x="682.20477"
y="28.346409">'0'</tspan></text> y="30.23617"
style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672">'0'</tspan></text>
<text <text
sodipodi:linespacing="125%"
id="text3928" id="text3928"
y="-28.346504" y="-30.236271"
x="323.78058" x="345.36594"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:16px;font-weight:bold" style="font-weight:bold;font-size:17.06666756px;line-height:1.25;font-family:sans-serif;stroke-width:1.06666672"
y="-28.346504" y="-30.236271"
x="323.78058" x="345.36594"
id="tspan3930" id="tspan3930"
sodipodi:role="line">g_pgen_fixed_width = false</tspan></text> sodipodi:role="line">g_pgen_fixed_width = false</tspan></text>
<path <path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 212.59842,159.44876 c 0,8.85826 0,8.85826 0,17.71653 12.04146,0 17.71654,-3.5433 17.71654,-8.85827 0,-5.31496 -5.67508,-8.85826 -17.71654,-8.85826 z" d="m 226.77165,170.07868 c 0,9.44881 0,9.44881 0,18.89763 12.84422,0 18.89764,-3.77952 18.89764,-9.44882 0,-5.66929 -6.05342,-9.44881 -18.89764,-9.44881 z"
id="path3936" id="path3936"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" /> sodipodi:nodetypes="cccc" />
<path <circle
sodipodi:type="arc" style="fill:none;stroke:#000000;stroke-width:0.5333333;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
style="fill:none;stroke:#000000;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3787" id="path3787"
sodipodi:cx="159.44882" cx="309.92126"
sodipodi:cy="116.92912" cy="266.45663"
sodipodi:rx="7.0866141" r="1.8897635" />
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 a 7.0866141,7.0866141 0 1 1 -14.17323,0 7.0866141,7.0866141 0 1 1 14.17323,0 z"
transform="matrix(0.24999996,0,0,0.24999996,250.68898,220.57081)" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 97.310565,175.62203 15.944885,0 0,24.80315 8.85826,0" d="m 228.66141,126.6141 h 17.00788 v 26.4567 h 9.44881"
id="path3900" id="path3900"
inkscape:connector-curvature="0" inkscape:connector-curvature="0" />
transform="translate(117.05951,-56.921307)" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 230.31496,168.30704 8.85826,0" d="m 245.66929,179.52751 h 9.44881"
id="path3902" id="path3902"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<path <path
sodipodi:nodetypes="cccc" sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path3913" id="path3913"
d="m 292.32283,237.40152 c 0,8.85826 0,8.85826 0,17.71653 12.04146,0 17.71654,-3.5433 17.71654,-8.85827 0,-5.31496 -5.67508,-8.85826 -17.71654,-8.85826 z" d="m 311.81102,253.22829 c 0,9.44881 0,9.44881 0,18.89763 12.84422,0 18.89764,-3.77952 18.89764,-9.44882 0,-5.66929 -6.05342,-9.44881 -18.89764,-9.44881 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1" />
<path <circle
transform="translate(165.27701,84.53931)"
d="m 24.289909,64.279526 a 3.5433037,3.5433037 0 1 1 -7.086608,0 3.5433037,3.5433037 0 1 1 7.086608,0 z"
sodipodi:ry="3.5433037"
sodipodi:rx="3.5433037"
sodipodi:cy="64.279526"
sodipodi:cx="20.746605"
id="path3915" id="path3915"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1.06666672;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
sodipodi:type="arc" /> cx="198.42519"
cy="158.7401"
r="3.7795241" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
x="162.99213" x="173.85826"
y="152.36214" y="162.51961"
id="text3917" id="text3917"><tspan
sodipodi:linespacing="125%"><tspan style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end;stroke-width:1.06666672"
style="text-align:end;text-anchor:end"
sodipodi:role="line" sodipodi:role="line"
id="tspan3919" id="tspan3919"
x="162.99213" x="173.85826"
y="152.36214">en_i</tspan></text> y="162.51961">en_i</tspan></text>
<text <text
sodipodi:linespacing="125%"
id="text3925" id="text3925"
y="237.40152" y="253.22829"
x="262.20471" x="279.68503"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-style:normal;font-weight:normal;font-size:12.80000019px;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:1.06666672"
xml:space="preserve"><tspan xml:space="preserve"><tspan
y="237.40152" y="253.22829"
x="262.20471" x="279.68503"
id="tspan3927" id="tspan3927"
sodipodi:role="line" sodipodi:role="line"
style="text-align:end;text-anchor:end">en_i</tspan></text> style="font-size:10.66666698px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end;stroke-width:1.06666672">en_i</tspan></text>
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 148.68852,290.77951 8.85827,0 0,8.85827 17.71653,0" d="m 283.46457,249.44875 h 9.44882 v 9.44882 h 18.89763"
id="path3939" id="path3939"
inkscape:connector-curvature="0" inkscape:connector-curvature="0" />
transform="translate(117.05951,-56.921307)" />
<path <path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none" style="fill:none;stroke:#000000;stroke-width:0.53333336;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 171.72001,306.72439 -14.17322,0 0,8.85827 -8.85827,0" d="m 308.03149,266.45662 h -15.1181 v 9.44882 h -9.44882"
id="path3941" id="path3941"
inkscape:connector-curvature="0" inkscape:connector-curvature="0" />
transform="translate(117.05951,-56.921307)" />
</g> </g>
</svg> </svg>
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment