Commit 8e5525ae authored by Dusan Slavinec's avatar Dusan Slavinec

pmcb: pci core - increased depth of PCI>WB clock crossing fifos

parent 9170fba8
...@@ -99,10 +99,10 @@ ...@@ -99,10 +99,10 @@
// If RAM_DONT_SHARE is defined, then all RAM address lengths must be specified accordingly, otherwise there are two relevant lengths - PCI_FIFO_RAM_ADDR_LENGTH and // If RAM_DONT_SHARE is defined, then all RAM address lengths must be specified accordingly, otherwise there are two relevant lengths - PCI_FIFO_RAM_ADDR_LENGTH and
// WB_FIFO_RAM_ADDR_LENGTH. // WB_FIFO_RAM_ADDR_LENGTH.
`define WBW_ADDR_LENGTH 3 `define WBW_ADDR_LENGTH 8
`define WBR_ADDR_LENGTH 3 `define WBR_ADDR_LENGTH 8
`define PCIW_ADDR_LENGTH 3 `define PCIW_ADDR_LENGTH 8
`define PCIR_ADDR_LENGTH 3 `define PCIR_ADDR_LENGTH 8
`define FPGA `define FPGA
//`define XILINX //`define XILINX
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment