Commit fc56f159 authored by Federico Vaga's avatar Federico Vaga

update licenses

Signed-off-by: Federico Vaga's avatarFederico Vaga <federico.vaga@cern.ch>
parent d370141f
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
*.o *.o
*.ko *.ko
*.mod.c *.mod.c
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
[submodule "hdl/ip_cores/wr-cores"] [submodule "hdl/ip_cores/wr-cores"]
path = hdl/ip_cores/wr-cores path = hdl/ip_cores/wr-cores
url = https://ohwr.org/project/wr-cores.git url = https://ohwr.org/project/wr-cores.git
......
CERN-OHL-W-2.0.txt
\ No newline at end of file
This diff is collapsed.
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
modules = { "local" : [ "hdl/rtl" ] } modules = { "local" : [ "hdl/rtl" ] }
if action == "synthesis": if action == "synthesis":
......
..
SPDX-FileCopyrightText: 2020 CERN (home.cern)
SPDX-License-Identifier: CC0-1.0
============================== ==============================
Simple PCIe FMC Carrier - SPEC Simple PCIe FMC Carrier - SPEC
============================== ==============================
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
build build
rpmbuild rpmbuild
sources sources
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
TOP_DIR ?= $(shell pwd)/../ TOP_DIR ?= $(shell pwd)/../
HDL_DIR ?= $(TOP_DIR)/hdl HDL_DIR ?= $(TOP_DIR)/hdl
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
PACKAGE_NAME="@PKGNAME@" PACKAGE_NAME="@PKGNAME@"
PACKAGE_VERSION="@PKGVER@" PACKAGE_VERSION="@PKGVER@"
CLEAN="make KVERSION=$kernelver DKMSTREE=$dkms_tree DKMS=1 clean" CLEAN="make KVERSION=$kernelver DKMSTREE=$dkms_tree DKMS=1 clean"
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
_build/ _build/
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
# Minimal makefile for Sphinx documentation # Minimal makefile for Sphinx documentation
# #
......
# SPDX-FileCopyrightText: 2020
#
# SPDX-License-Identifier: CC0-1.0
# -*- coding: utf-8 -*- # -*- coding: utf-8 -*-
# #
# Configuration file for the Sphinx documentation builder. # Configuration file for the Sphinx documentation builder.
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
sourceid_spec_base_pkg.vhd sourceid_spec_base_pkg.vhd
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
files = [ files = [
"spec_base_regs.vhd", "spec_base_regs.vhd",
"spec_base_wr.vhd", "spec_base_wr.vhd",
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC-BY-SA-4.0 OR CERN-OHL-W-2.0+ OR GPL-2.0-or-later
memory-map: memory-map:
name: spec_base_regs name: spec_base_regs
bus: wb-32-be bus: wb-32-be
......
-- SPDX-FileCopyrightText: 2020 CERN (home.cern)
--
-- SPDX-License-Identifier: CC-BY-SA-4.0 OR CERN-OHL-W-2.0+ OR GPL-2.0-or-later
-- Do not edit; this file was generated by Cheby using these options: -- Do not edit; this file was generated by Cheby using these options:
-- --gen-hdl=spec_base_regs.vhd -i spec_base_regs.cheby -- --gen-hdl=spec_base_regs.vhd -i spec_base_regs.cheby
......
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- SPDX-FileCopyrightText: 2020 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
--
-- CERN BE-CO-HT -- CERN BE-CO-HT
-- SPEC -- SPEC
-- https://ohwr.org/projects/spec -- https://ohwr.org/projects/spec
...@@ -9,18 +13,6 @@ ...@@ -9,18 +13,6 @@
-- description: SPEC carrier base. -- description: SPEC carrier base.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2019
--------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except
-- in compliance with the License. You may obtain a copy of the License at
-- http://solderpad.org/licenses/SHL-2.0.
-- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an
-- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions
-- and limitations under the License.
--------------------------------------------------------------------------------
library ieee; library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
files = ["spec_base_common.ucf"] files = ["spec_base_common.ucf"]
ucf_dict = {'wr': "spec_base_wr.ucf", ucf_dict = {'wr': "spec_base_wr.ucf",
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
#=============================================================================== #===============================================================================
# IO Constraints # IO Constraints
#=============================================================================== #===============================================================================
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
## DDR-3 ## DDR-3
NET "ddr_rzq_b" LOC = K7; NET "ddr_rzq_b" LOC = K7;
NET "ddr_we_n_o" LOC = H2; NET "ddr_we_n_o" LOC = H2;
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
########################################################################### ###########################################################################
## Onewire interface -> thermometer ## Onewire interface -> thermometer
########################################################################### ###########################################################################
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
########################################################################### ###########################################################################
## Flash memory SPI interface ## Flash memory SPI interface
########################################################################### ###########################################################################
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
#=============================================================================== #===============================================================================
# IO Location Constraints # IO Location Constraints
#=============================================================================== #===============================================================================
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
* *
!.gitignore !.gitignore
!Manifest.py !Manifest.py
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
target = "xilinx" target = "xilinx"
action = "synthesis" action = "synthesis"
board = "spec" board = "spec"
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
# get project file from 1st command-line argument # get project file from 1st command-line argument
set project_file [lindex $argv 0] set project_file [lindex $argv 0]
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
* *
!.gitignore !.gitignore
!Manifest.py !Manifest.py
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
target = "xilinx" target = "xilinx"
action = "synthesis" action = "synthesis"
board = "spec" board = "spec"
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
# get project file from 1st command-line argument # get project file from 1st command-line argument
set project_file [lindex $argv 0] set project_file [lindex $argv 0]
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
* *
!.gitignore !.gitignore
!Manifest.py !Manifest.py
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
target = "xilinx" target = "xilinx"
action = "synthesis" action = "synthesis"
board = "spec" board = "spec"
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
# get project file from 1st command-line argument # get project file from 1st command-line argument
set project_file [lindex $argv 0] set project_file [lindex $argv 0]
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
* *
!.gitignore !.gitignore
!Manifest.py !Manifest.py
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
target = "xilinx" target = "xilinx"
action = "synthesis" action = "synthesis"
board = "spec" board = "spec"
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
# get project file from 1st command-line argument # get project file from 1st command-line argument
set project_file [lindex $argv 0] set project_file [lindex $argv 0]
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
Makefile Makefile
work/ work/
transcript transcript
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
board = "spec" board = "spec"
sim_tool = "modelsim" sim_tool = "modelsim"
sim_top = "main" sim_top = "main"
......
// SPDX-FileCopyrightText: 2020 CERN (home.cern)
//
// SPDX-License-Identifier: CC0-1.0
`timescale 1ns/1ps `timescale 1ns/1ps
`include "gn4124_bfm.svh" `include "gn4124_bfm.svh"
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
vsim -quiet -t 10fs -L unisim work.main -suppress 1270,8617,8683,8684,8822 -voptargs="+acc" -sv_seed random vsim -quiet -t 10fs -L unisim work.main -suppress 1270,8617,8683,8684,8822 -voptargs="+acc" -sv_seed random
set StdArithNoWarnings 1 set StdArithNoWarnings 1
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
vsim -quiet -t 10fs -L unisim work.main -suppress 1270,8617,8683,8684,8822 -sv_seed random vsim -quiet -t 10fs -L unisim work.main -suppress 1270,8617,8683,8684,8822 -sv_seed random
set StdArithNoWarnings 1 set StdArithNoWarnings 1
set NumericStdNoWarnings 1 set NumericStdNoWarnings 1
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
onerror {resume} onerror {resume}
quietly WaveActivateNextPane {} 0 quietly WaveActivateNextPane {} 0
add wave -noupdate -group {App DDR port} -color Coral /main/DUT/inst_spec_base/gen_with_ddr/cmp_ddr_ctrl_bank3/cmp_ddr3_ctrl_wb_0/rst_n_i add wave -noupdate -group {App DDR port} -color Coral /main/DUT/inst_spec_base/gen_with_ddr/cmp_ddr_ctrl_bank3/cmp_ddr3_ctrl_wb_0/rst_n_i
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
# Allow the user to override fetchto using: # Allow the user to override fetchto using:
# hdlmake -p "fetchto='xxx'" # hdlmake -p "fetchto='xxx'"
if locals().get('fetchto', None) is None: if locals().get('fetchto', None) is None:
......
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- SPDX-FileCopyrightText: 2020 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
--
-- CERN BE-CO-HT -- CERN BE-CO-HT
-- SPEC -- SPEC
-- https://ohwr.org/projects/spec -- https://ohwr.org/projects/spec
...@@ -9,18 +13,6 @@ ...@@ -9,18 +13,6 @@
-- description: SPEC golden design, without WR. -- description: SPEC golden design, without WR.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2019-2020
--------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except
-- in compliance with the License. You may obtain a copy of the License at
-- http://solderpad.org/licenses/SHL-2.0.
-- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an
-- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions
-- and limitations under the License.
--------------------------------------------------------------------------------
library IEEE; library IEEE;
use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_1164.all;
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
files = ["spec_base_wr_example.vhd"] files = ["spec_base_wr_example.vhd"]
modules = {'local': ["../../rtl"]} modules = {'local': ["../../rtl"]}
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- SPDX-FileCopyrightText: 2020 CERN (home.cern)
--
-- SPDX-License-Identifier: CERN-OHL-W-2.0+
--
-- CERN BE-CO-HT -- CERN BE-CO-HT
-- SPEC -- SPEC
-- https://ohwr.org/projects/spec -- https://ohwr.org/projects/spec
...@@ -9,18 +13,6 @@ ...@@ -9,18 +13,6 @@
-- description: Example instantiation of SPEC base with White Rabbit. -- description: Example instantiation of SPEC base with White Rabbit.
-- --
-------------------------------------------------------------------------------- --------------------------------------------------------------------------------
-- Copyright CERN 2019-2020
--------------------------------------------------------------------------------
-- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 2.0 (the "License"); you may not use this file except
-- in compliance with the License. You may obtain a copy of the License at
-- http://solderpad.org/licenses/SHL-2.0.
-- Unless required by applicable law or agreed to in writing, software,
-- hardware and materials distributed under this License is distributed on an
-- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express
-- or implied. See the License for the specific language governing permissions
-- and limitations under the License.
--------------------------------------------------------------------------------
library IEEE; library IEEE;
use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_1164.all;
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
-include Makefile.specific -include Makefile.specific
-include $(REPO_PARENT)/parent_common.mk -include $(REPO_PARENT)/parent_common.mk
......
/* // Copyright (C) 2020 CERN (www.cern.ch)
* Copyright (C) 2020 CERN (www.cern.ch) // SPDX-FileCopyrightText: 2020 CERN (home.cern)
* Author: Federico Vaga <federico.vaga@cern.ch> //
*/ // SPDX-License-Identifier: GPL-2.0-or-later
// Author: Federico Vaga <federico.vaga@cern.ch>
#ifndef __LINUX_UAPI_SPEC_H #ifndef __LINUX_UAPI_SPEC_H
#define __LINUX_UAPI_SPEC_H #define __LINUX_UAPI_SPEC_H
......
# SPDX-FileCopyrightText: Linux
#
# SPDX-License-Identifier: GPL-2.0-or-later # SPDX-License-Identifier: GPL-2.0-or-later
# #
# clang-format configuration file. Intended for clang-format >= 4. # clang-format configuration file. Intended for clang-format >= 4.
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
spec-core-fpga.h spec-core-fpga.h
\ No newline at end of file
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
# add versions of supermodule. It is useful when spec is included as sub-module # add versions of supermodule. It is useful when spec is included as sub-module
# of a bigger project that we want to track # of a bigger project that we want to track
ifdef CONFIG_SUPER_REPO ifdef CONFIG_SUPER_REPO
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
-include Makefile.specific -include Makefile.specific
-include $(REPO_PARENT)/parent_common.mk -include $(REPO_PARENT)/parent_common.mk
......
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: CC0-1.0
spec-firmware-version spec-firmware-version
#!/usr/bin/python3 #!/usr/bin/python3
# SPDX-FileCopyrightText: 2020 CERN (home.cern)
#
# SPDX-License-Identifier: GPL-3.0-or-later
import os import os
import re import re
import argparse import argparse
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment