Skip to content

[hdl] fix circular dependency for f_log2_size

Olof Kindgren requested to merge olofk-log2_size_fix into master

gc_extend_pulse and gencores_pkg uses f_log2_size that is defined in genram. At the same time, files genrams depends on gencores_pkg. This can cause circular dependencies if common and genrams are packaged as separate cores

By using the equivalent log2_ceil function already in gencores we can break this circular dependency.

Signed-off-by: Olof Kindgren olof.kindgren@gmail.com

Edited by Dimitris Lampridis

Merge request reports