Commit 0cf850c5 authored by Dimitris Lampridis's avatar Dimitris Lampridis

hdl: fix wrong reset logic for control register

parent f3a3e73c
......@@ -398,7 +398,7 @@ begin
process (clk_tdc_i)
begin
if rising_edge (clk_tdc_i) then
if rst_tdc_n_i = '1' then
if rst_tdc_n_i = '0' then
ctrl_reg_d <= (others =>'0');
else
ctrl_reg_d <= ctrl_reg;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment