Commit d07fa617 authored by Mathias Kreider's avatar Mathias Kreider

test fix

parent 2bdca1c9
......@@ -295,19 +295,7 @@ package eb_internals_pkg is
-- EB Master Stuff
-----------------------------------------------------------------
component eb_master_top is
generic(g_adr_bits_hi : natural := 8;
g_mtu : natural := 32);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
slave_i : in t_wishbone_slave_in;
slave_o : out t_wishbone_slave_out;
src_i : in t_wrf_source_in;
src_o : out t_wrf_source_out);
end component ;
component eb_master_wb_if is
generic(g_adr_bits_hi : natural := 8);
......
......@@ -57,6 +57,41 @@ package etherbone_pkg is
master_i : in t_wishbone_master_in);
end component;
component eb_master_slave_wrapper is
generic(
g_with_master : boolean := false;
g_ebs_sdb_address : std_logic_vector(63 downto 0);
g_ebs_timeout_cycles : natural := 6250000;
g_ebs_mtu : natural := 1500;
g_ebm_adr_bits_hi : natural := 2;
g_ebm_size : natural := 32
);
port(
clk_i : in std_logic;
nRst_i : in std_logic;
--to wr core, ext wrf if
snk_i : in t_wrf_sink_in;
snk_o : out t_wrf_sink_out;
src_o : out t_wrf_source_out;
src_i : in t_wrf_source_in;
--ebs
ebs_cfg_slave_o : out t_wishbone_slave_out;
ebs_cfg_slave_i : in t_wishbone_slave_in;
ebs_wb_master_o : out t_wishbone_master_out;
ebs_wb_master_i : in t_wishbone_master_in;
--ebm (optional)
ebm_wb_slave_i : in t_wishbone_slave_in;
ebm_wb_slave_o : out t_wishbone_slave_out
);
end component;
component eb_ethernet_slave is
generic(
g_sdb_address : std_logic_vector(63 downto 0);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment