Commit d16b3da7 authored by Alessandro Rubini's avatar Alessandro Rubini

wr_nic.wb.h: from wbgen2

This is the file as I get from running wbgen2. It still has
some issues, fixed in the next commit. The source file was
trunk/documentation/specifications/hdlspec/WRSW_wbc_internal_NIC/wr_nic.wb
(svn version is 628)
parent 5c8489aa
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment