Commit e4aacc4d authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

swcore: removed some tap signals from across hierarchy

parent c8010652
......@@ -267,8 +267,8 @@ package swc_swcore_pkg is
usecnt_i : in std_logic_vector(g_num_ports * g_usecount_width - 1 downto 0);
pgaddr_alloc_o : out std_logic_vector(g_page_addr_width-1 downto 0);
free_last_usecnt_o : out std_logic_vector(g_num_ports - 1 downto 0);
nomem_o : out std_logic;
tap_out_o :out std_logic_vector(62 + 49 downto 0)
nomem_o : out std_logic
-- tap_out_o :out std_logic_vector(62 + 49 downto 0)
);
end component;
......
......@@ -6,7 +6,7 @@
-- Author : Maciej Lipinski
-- Company : CERN BE-Co-HT
-- Created : 2010-10-29
-- Last update: 2012-03-16
-- Last update: 2012-03-18
-- Platform : FPGA-generic
-- Standard : VHDL'87
-------------------------------------------------------------------------------
......@@ -569,8 +569,8 @@ architecture rtl of xswc_core is
pgaddr_force_free_i => ppfm_force_free_pgaddr,
nomem_o => mmu_nomem,
tap_out_o => tap_alloc
nomem_o => mmu_nomem
-- tap_out_o => tap_alloc
);
MULTIPORT_MEMORY: mpm_top --(new)
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment