Commit 23fe4024 authored by Tristan Gingold's avatar Tristan Gingold

connect endpoint.

parent e6e1f0b2
......@@ -69,9 +69,6 @@ entity svec_node_template is
-- VIC interrupt vector address of the mezzanine in slot 1
g_fmc1_vic_vector : t_wishbone_address;
-- Enables/disable White Rabbit support
g_with_white_rabbit : boolean := true;
-- Reduces some timeouts to speed up simulations.
g_simulation : boolean := false;
......@@ -306,11 +303,7 @@ architecture rtl of svec_node_template is
impure function f_pick_wr_core_sdb return t_sdb_record is
begin
if g_with_white_rabbit then
return f_sdb_embed_bridge ( c_WRCORE_BRIDGE_SDB, x"00040000" );
else
return f_sdb_embed_device ( cc_dummy_sdb_device, x"00040000" );
end if;
return f_sdb_embed_bridge ( c_WRCORE_BRIDGE_SDB, x"00040000" );
end function;
constant c_NUM_WB_MASTERS : integer := 5;
......@@ -645,8 +638,6 @@ begin
VME_BERR_o <= not VME_BERR_n;
VME_IRQ_n_o <= not VME_IRQ_n;
gen_with_wr : if( g_with_white_rabbit ) generate
-- Tristates for SFP EEPROM
sfp_mod_def1_b <= '0' when sfp_scl_out = '0' else 'Z';
sfp_mod_def2_b <= '0' when sfp_sda_out = '0' else 'Z';
......@@ -774,17 +765,6 @@ begin
dac_sdata_o => pll25dac_din_o,
xdone_o => open);
end generate gen_with_wr;
gen_without_wr: if ( not g_with_white_rabbit ) generate
cnx_master_in(c_SLAVE_WR_CORE).ack <= '1';
cnx_master_in(c_SLAVE_WR_CORE).stall <= '0';
cnx_master_in(c_SLAVE_WR_CORE).err <= '0';
cnx_master_in(c_SLAVE_WR_CORE).rty <= '0';
end generate gen_without_wr;
U_Intercon : xwb_sdb_crossbar
generic map (
g_num_masters => c_NUM_WB_SLAVES,
......@@ -823,23 +803,36 @@ begin
U_Mock_Turtle : mock_turtle_core
generic map (
g_CONFIG => g_mt_config,
g_WITH_RMQ => true,
g_WITH_WHITE_RABBIT => true)
port map (
clk_i => clk_sys,
rst_n_i => local_reset_n,
dp_master_o(0) => fmc0_dp_wb_o,
dp_master_o(1) => fmc1_dp_wb_o,
dp_master_i(0) => fmc0_dp_wb_i,
dp_master_i(1) => fmc1_dp_wb_i,
host_slave_i => cnx_master_out(c_SLAVE_MT),
host_slave_o => cnx_master_in(c_SLAVE_MT),
clk_i => clk_sys,
rst_n_i => local_reset_n,
dp_master_o(0) => fmc0_dp_wb_o,
dp_master_o(1) => fmc1_dp_wb_o,
dp_master_i(0) => fmc0_dp_wb_i,
dp_master_i(1) => fmc1_dp_wb_i,
rmq_endpoint_o => mt2ep,
rmq_endpoint_i => ep2mt,
host_slave_i => cnx_master_out(c_SLAVE_MT),
host_slave_o => cnx_master_in(c_SLAVE_MT),
clk_ref_i => clk_125m_pllref,
tmi_i.link_up => tm_link_up,
tmi_i.dac_value => tm_dac_value,
tmi_i.dac_wr => tm_dac_wr,
tmi_i.time_valid => tm_time_valid,
tmi_i.tai => tm_tai,
tmi_i.cycles => tm_cycles,
tmi_i.aux_locked => tm_clk_aux_locked,
hmq_in_irq_o => mt_hmq_in_irq,
hmq_out_irq_o => mt_hmq_out_irq,
notify_irq_o => mt_notify_irq,
console_irq_o => mt_console_irq);
hmq_in_irq_o => mt_hmq_in_irq,
hmq_out_irq_o => mt_hmq_out_irq,
notify_irq_o => mt_notify_irq,
console_irq_o => mt_console_irq);
U_Ethernet_Endpoint: entity work.mt_rmq_ethernet_endpoint
......@@ -858,44 +851,7 @@ begin
eth_snk_o => wrc_src_in
);
gen_wr_node_with_white_rabbit : if g_with_white_rabbit generate
--U_WR_Node : wr_node_core_with_etherbone
--generic map (
-- g_config => g_wr_node_config,
-- g_double_core_clock => g_double_wrnode_core_clock)
--port map (
-- clk_i => clk_sys,
-- clk_cpu_i => clk_cpu,
-- clk_ref_i => clk_125m_pllref,
-- rst_n_i => local_reset_n,
-- rst_net_n_i => rst_net_n,
-- dp_master_o(0) => fmc0_dp_wb_o,
-- dp_master_o(1) => fmc1_dp_wb_o,
-- dp_master_i(0) => fmc0_dp_wb_i,
-- dp_master_i(1) => fmc1_dp_wb_i,
-- wr_src_o => ebm_src_out,
-- wr_src_i => ebm_src_in,
-- wr_snk_o => ebs_snk_out,
-- wr_snk_i => ebs_snk_in,
-- eb_config_i => wrc_aux_master_out,
-- eb_config_o => wrc_aux_master_in,
-- host_slave_i => cnx_master_out(c_SLAVE_WR_NODE),
-- host_slave_o => cnx_master_in(c_SLAVE_WR_NODE),
-- host_irq_o => wrn_irq,
-- tm_i => tm,
-- gpio_o => wrn_gpio_out,
-- gpio_i => wrn_gpio_in,
-- debug_msg_irq_o => wrn_debug_msg_irq
-- );
end generate gen_wr_node_with_white_rabbit;
gen_wr_node_without_white_rabbit: if not g_with_white_rabbit generate
end generate gen_wr_node_without_white_rabbit;
gen_with_phy : if(g_with_wr_phy and g_with_white_rabbit ) generate
gen_with_phy : if g_with_wr_phy generate
U_GTP : wr_gtp_phy_spartan6
generic map (
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment