Commit 070123ae authored by Dimitris Lampridis's avatar Dimitris Lampridis

hdl: bump fmc-tdc and implement fmc detection

parent 1ffffaf5
Subproject commit 099aebecd2b6237dd49dfee6f67cb3c072b7bd96
Subproject commit 008e1996bce9d07f61fcc39a064ad6f4da18b97c
......@@ -331,6 +331,8 @@ module dut_env
.fmc0_tdc_rd_n_o (tdc_rd_n),
.fmc0_tdc_wr_n_o (tdc_wr_n),
.fmc1_fd_clk_ref_p_i (clk_125m_pll),
.fmc0_prsnt_m2c_n_i (1'b0),
.fmc1_prsnt_m2c_n_i (1'b0),
.fmc1_fd_clk_ref_n_i (~clk_125m_pll),
.fmc1_fd_delay_len_o (fdl_len),
.fmc1_fd_delay_val_o (fdl_val),
......
......@@ -743,7 +743,6 @@ begin -- architecture arch
mezz_scl_o => open,
mezz_sda_o => open,
mezz_one_wire_b => fmc0_tdc_one_wire_b,
fmc_present_n_i => '0',
tm_link_up_i => tm_link_up,
tm_time_valid_i => tm_time_valid,
tm_cycles_i => tm_cycles,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment