Commit 5e844431 authored by Alessandro Rubini's avatar Alessandro Rubini

general: add missing copyright notes.

This commit fixes all copyright notes, using "git blame" as a
reference.  For simple files I just added a 2-lines boilerplate about
being WR and GPL.

Some parts I left untouched:

  trivial-init and related files (unclear to me what this is,
  and pf-microcode.h is magic binary pfilter values).

  tools/lm32-etheruart: I don't know what this is and who the author is

  libsdbfs: this comes from another repo and I'd better not change it

  sfp_lib.h and hal_shmem.h: I lost track about the origin of this,
  among the several packages.
Signed-off-by: Alessandro Rubini's avatarAlessandro Rubini <rubini@gnudd.com>
parent 24b56306
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __BOARD_H
#define __BOARD_H
......
/*
* This work is part of the White Rabbit project
*
* Copyright (C) 2013 - 2015 CERN (www.cern.ch)
* Author: Alessandro Rubini <rubini@gnudd.com>
*
* Released according to the GNU GPL, version 2 or any later version.
*/
/*
* This file includes stuff we don't want to link, so if it is
......
/*
* Trivial pll programmer using an spi controoler.
* This work is part of the White Rabbit project
*
* Copyright (C) 2011d CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Alessandro Rubini <rubini@gnudd.com>
*
* Released according to the GNU GPL, version 2 or any later version.
*/
/*
* Trivial pll programmer using an spi controller.
* PLL is AD9516, SPI is opencores
* Tomasz Wlostowski, Alessandro Rubini, 2011, for CERN.
*/
#include <stdio.h>
#include <stdlib.h>
......
/*
* This work is part of the White Rabbit project
*
* Copyright (C) 2011 CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
*
* Released according to the GNU LGPL, version 2.1 or any later version.
*/
WR Endpoint (WR-compatible Ethernet MAC driver
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#include "board.h"
#include "syscon.h"
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __BOARD_WRC_H
#define __BOARD_WRC_H
/*
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __BOARD_H
#define __BOARD_H
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __ENDPOINT_H
#define __ENDPOINT_H
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __GPIO_H
#define __GPIO_H
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __HAL_EXPORTS_H
#define __HAL_EXPORTS_H
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef ETHERBONE_CONFIG
#define ETHERBONE_CONFIG
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __REGS_H
#define __REGS_H
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __I2C_H
#define __I2C_H
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __IRQ_H
#define __IRQ_H
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __LIBWR_HAL_SHMEM_H__
#define __LIBWR_HAL_SHMEM_H__
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __LIBWR_SHW_SFPLIB_H
#define __LIBWR_SHW_SFPLIB_H
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __MINIC_H
#define __MINIC_H
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef PERSISTENT_MAC_H
#define PERSISTENT_MAC_H
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __PPS_GEN_H
#define __PPS_GEN_H
......
// Network API for WR-PTPd
/*
* This work is part of the White Rabbit project
*
* Copyright (C) 2010 - 2013 CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Grzegorz Daniluk <grzegorz.daniluk@cern.ch>
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __PTPD_NETIF_H
#define __PTPD_NETIF_H
......
/* SFP Detection / management functions */
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __SFP_H
#define __SFP_H
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __SHELL_H
#define __SHELL_H
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
White Rabbit Softcore PLL (SoftPLL) - common definitions
/*
WARNING: These parameters must be in sync with the generics of the HDL instantiation of wr_softpll_ng.
......
/*
* This work is part of the White Rabbit project
*
* Copyright (C) 2012 - 2015 CERN (www.cern.ch)
* Author: Grzegorz Daniluk <grzegorz.daniluk@cern.ch>
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __STORAGE_H
#define __STORAGE_H
......
/*
* This work is part of the White Rabbit project
*
* Copyright (C) 2012 - 2015 CERN (www.cern.ch)
* Author: Grzegorz Daniluk <grzegorz.daniluk@cern.ch>
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __SYSCON_H
#define __SYSCON_H
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __SYSTEM_CHECKS_H__
#define __SYSTEM_CHECKS_H__
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __TYPES_H
#define __TYPES_H
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __UART_H
#define __UART_H
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __UTIL_H
#define __UTIL_H
#include <inttypes.h>
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __WRC_H__
#define __WRC_H__
......
/*
* This work is part of the White Rabbit project
*
* Copyright (C) 2012 - 2013 CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef __RT_IPC_H
#define __RT_IPC_H
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#ifndef IPV4_H
#define IPV4_H
......
/*
* This work is part of the White Rabbit project
*
* Copyright (C) 2010 - 2015 GSI (www.gsi.de), CERN (www.cern.ch)
* Author: Wesley W. Terpstra <w.terpstra@gsi.de>
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Adam Wujek <adam.wujek@cern.ch>
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#include <stdio.h>
#include <string.h>
#include <errno.h>
......
/*
* This work is part of the White Rabbit project
*
* Copyright (C) 2013 - 2015 CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Adam Wujek <adam.wujek@cern.ch>
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#include <stdint.h>
#include <libwr/hal_shmem.h>
#include <libwr/shmem.h>
......
/*
* This work is part of the White Rabbit project
*
* Copyright (C) 2012 - 2015 CERN (www.cern.ch)
* Author: Alessandro Rubini <rubini@gnudd.com>
* Author: Adam Wujek <adam.wujek@cern.ch>
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#include "softpll_ng.h"
#include "revision.h"
const char *build_revision = stats.commit_id;
......
/* Command: gui
Arguments: none
Description: launches the WR Core monitor GUI */
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#include "shell.h"
static int cmd_gui(const char *args[])
......
/* Command: ptp
Arguments: one [start/stop]
Description: (re)starts/stops the PTP session. */
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#include <errno.h>
#include <string.h>
......
/*
* This work is part of the White Rabbit project
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#include "shell.h"
#include "syscon.h"
#include "hw/memlayout.h"
......
/*
* This work is part of the White Rabbit project
*
* Copyright (C) 2012 - 2015 CERN (www.cern.ch)
* Author: Grzegorz Daniluk <grzegorz.daniluk@cern.ch>
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#include "shell.h"
#include "endpoint.h"
#include <string.h>
......
/*
* This work is part of the White Rabbit project
*
* Copyright (C) 2013 CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Alessandro Rubini <rubini@gnudd.com>
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#include <wrc.h>
#include "shell.h"
#include "syscon.h"
......
/*
* This work is part of the White Rabbit project
*
* Copyright (C) 2010 - 2015 CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Grzegorz Daniluk <grzegorz.daniluk@cern.ch>
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#include <stdio.h>
#include <stdlib.h>
#include <string.h>
......
/*
* This work is part of the White Rabbit project
*
* Copyright (C) 2010 - 2013 CERN (www.cern.ch)
* Copyright (C) 2010 - 2014 CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Grzegorz Daniluk <grzegorz.daniluk@cern.ch>
*
* Released according to the GNU GPL, version 2 or any later version.
*/
......
/*
White Rabbit Softcore PLL (SoftPLL) - common definitions
Copyright (c) 2010 - 2012 CERN / BE-CO-HT (Tomasz Włostowski)
Licensed under LGPL 2.1.
spll_debug.h - debugging/diagnostic interface
* This work is part of the White Rabbit project
*
* Copyright (C) 2010 - 2013 CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
*
* Released according to the GNU LGPL, version 2.1 or any later version.
The so-called debug inteface is a large, interrupt-driven FIFO which
passes various realtime parameters (e.g. error value, tags, DAC drive)
......
/*
* This work is part of the White Rabbit project
*
* Copyright (C) 2012,2015 CERN (www.cern.ch)
* Author: Tomasz Wlostowski <tomasz.wlostowski@cern.ch>
* Author: Adam Wujek <adam.wujek@cern.ch>
*
* Released according to the GNU GPL, version 2 or any later version.
*/
#include <wrc.h>
#include "uart.h"
#include "softpll_ng.h"
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment