Commit c2ab576d authored by Tristan Gingold's avatar Tristan Gingold

vtuCore: minor reformating.

parent 6326222b
......@@ -1440,6 +1440,7 @@ begin
"000";
end block blk_playmem;
-- LowFreq: post processing to generate a square wave from pulses.
blk_lowfreq: block
is
signal FilledMuxSel : std_logic;
......@@ -1448,6 +1449,12 @@ begin
signal DataAllEqual : std_logic_vector(7 downto 0 );
signal ClkValueSwitch : std_logic;
begin
-- Detect a pulse on seq output.
DataOutPulse <= DataOut_seq(7) or DataOut_seq(6) or DataOut_seq(5)
or DataOut_seq(4) or DataOut_seq(3) or DataOut_seq(2)
or DataOut_seq(1) or DataOut_seq(0);
-- Toggle when a pulse is detected.
process (Clk, RstOrStopSeq)
begin
if RstOrStopSeq = '1' then
......@@ -1459,10 +1466,6 @@ begin
end if;
end process;
DataOutPulse <= DataOut_seq(7) or DataOut_seq(6) or DataOut_seq(5)
or DataOut_seq(4) or DataOut_seq(3) or DataOut_seq(2)
or DataOut_seq(1) or DataOut_seq(0);
process (ClkValueSwitch)
begin
case ClkValueSwitch is
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment