Commit 6069f361 authored by Tristan Gingold's avatar Tristan Gingold

vtuCore: inline ModelSelDecoder.

parent 8a352bca
......@@ -926,57 +926,8 @@ begin
end if ;
end if ;
end process;
end WrongValuesLogic;
library ieee;
use ieee.STD_LOGIC_1164.all;
use ieee.NUMERIC_STD.all;
library work;
use work.MemMap_ctuAsVtu.all;
entity ModeSelDecoder is
port (
Mode : in std_logic_vector(2 downto 0 );
SinglePulseMode : out std_logic;
InfiniteWindowMode : out std_logic;
WindowedOperationMode : out std_logic;
SyncLessOperationMode : out std_logic;
LowFreqGenerationMode : out std_logic;
PlayMemoryMode : out std_logic
);
end ModeSelDecoder;
architecture ModeSelDecoder of ModeSelDecoder is
begin
process (Mode)
begin
SinglePulseMode <= '0';
InfiniteWindowMode <= '0';
WindowedOperationMode <= '0';
SyncLessOperationMode <= '0';
LowFreqGenerationMode <= '0';
PlayMemoryMode <= '0';
case Mode is
when C_Code_ctuAsVtu_control2_mode_singlePulse =>
SinglePulseMode <= '1';
when C_Code_ctuAsVtu_control2_mode_infiniteWindow =>
InfiniteWindowMode <= '1';
when C_Code_ctuAsVtu_control2_mode_windowedOperation =>
WindowedOperationMode <= '1';
when C_Code_ctuAsVtu_control2_mode_syncLessOperation =>
SyncLessOperationMode <= '1';
when C_Code_ctuAsVtu_control2_mode_lowFreqGeneration =>
LowFreqGenerationMode <= '1';
when C_Code_ctuAsVtu_control2_mode_playMemory =>
PlayMemoryMode <= '1';
when others =>
null;
end case;
end process;
end ModeSelDecoder;
library ieee;
use ieee.STD_LOGIC_1164.all;
......@@ -1068,14 +1019,32 @@ architecture vtuCore of vtuCore is
signal OE_B : std_logic;
signal OE_HT : std_logic;
begin
B_ModeSelDecoder: entity work.ModeSelDecoder
port map (Mode => Mode(2 downto 0),
SinglePulseMode => SinglePulseMode,
InfiniteWindowMode => InfiniteWindowMode,
WindowedOperationMode => WindowedOperationMode,
SyncLessOperationMode => SyncLessOperationMode,
LowFreqGenerationMode => LowFreqGenerationMode,
PlayMemoryMode => PlayMemoryMode);
process (Mode)
begin
SinglePulseMode <= '0';
InfiniteWindowMode <= '0';
WindowedOperationMode <= '0';
SyncLessOperationMode <= '0';
LowFreqGenerationMode <= '0';
PlayMemoryMode <= '0';
case Mode is
when C_Code_ctuAsVtu_control2_mode_singlePulse =>
SinglePulseMode <= '1';
when C_Code_ctuAsVtu_control2_mode_infiniteWindow =>
InfiniteWindowMode <= '1';
when C_Code_ctuAsVtu_control2_mode_windowedOperation =>
WindowedOperationMode <= '1';
when C_Code_ctuAsVtu_control2_mode_syncLessOperation =>
SyncLessOperationMode <= '1';
when C_Code_ctuAsVtu_control2_mode_lowFreqGeneration =>
LowFreqGenerationMode <= '1';
when C_Code_ctuAsVtu_control2_mode_playMemory =>
PlayMemoryMode <= '1';
when others =>
null;
end case;
end process;
-- Select start input.
Start_i <= Start when UseSyncAsStart = '0' else SyncPulse_i;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment