Commit 354da7c7 authored by Tristan Gingold's avatar Tristan Gingold

wr2rf: handle noga switches.

parent 9f9358a9
......@@ -70,6 +70,10 @@ entity wr2rf_vme is
vme_gap_i : in std_logic;
vme_ga_n_i : in std_logic_vector(4 downto 0);
-- Switches in absence of vme64x.
vme_noga_n_i : in std_logic_vector(4 downto 0);
switch_n : in std_logic_vector(2 downto 0);
-- 62.5MHz + offset clock for DDMTD.
clk_dmtd_62m5_p_i : in std_logic;
clk_dmtd_62m5_n_i : in std_logic;
......@@ -291,6 +295,8 @@ architecture rtl of wr2rf_vme is
signal vme_berr_n_o : std_logic;
signal vme_irq_n_o : std_logic_vector(7 downto 1);
signal vme_nogap : std_logic;
signal clk_dmtd_62m5 : std_logic;
signal clk_sys_62m5 : std_logic;
signal clk_sys_62m5_in : std_logic;
......@@ -723,7 +729,10 @@ begin
wb_i => master_in,
wb_o => master_out);
vme_ga <= vme_gap_i & vme_ga_n_i; -- vme core wants low level sensitivity
vme_nogap <= not (vme_noga_n_i(0) xor vme_noga_n_i(1) xor vme_noga_n_i(2)
xor vme_noga_n_i(3) xor vme_noga_n_i(4));
vme_ga <= vme_gap_i & vme_ga_n_i when switch_n(2) = '0'
else vme_nogap & vme_noga_n_i; -- vme core wants low level sensitivity
-- VME tri-state buffers
vme_data_b (15 downto 0) <= vme_data_b_out when vme_data_dir_int = '1'
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment