Commit fe0ba167 authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

cleanup low phase drift calibration interface

parent 095c800f
Subproject commit 17d08e592c482848bf1ce9401f39a2a8749d04f4
Subproject commit dcc7cc33ffa3bce1a9a3da9ea317e3c768830398
Subproject commit b23b87769f895a8f75402ac47b401bf02bff6a57
Subproject commit 25deb51759cf467df4fdeeca3bd10e4e793f71ca
......@@ -794,7 +794,8 @@ begin
g_use_new_rxcrc => true,
g_use_new_txcrc => false,
g_with_stop_traffic => g_with_watchdog,
g_ep_idx => i)
g_phy_lpcalib => g_phy_lpcalib(i),
g_ep_idx => i)
port map (
clk_ref_i => clk_ref_i,
clk_sys_i => clk_sys,
......@@ -811,8 +812,8 @@ begin
phy_rst_o => phys_o(i).rst,
phy_loopen_o => phys_o(i).loopen,
phy_debug_i => phys_i(i).debug,
phy_debug_o => phys_o(i).debug,
phy_lpc_stat_i => phys_i(i).lpc_stat,
phy_lpc_ctrl_o => phys_o(i).lpc_ctrl,
phy_rdy_i => phys_i(i).rdy,
phy_ref_clk_i => phys_i(i).ref_clk,
phy_tx_data_o => ep_dbg_data_array(i), -- phys_o(i).tx_data, --
......
......@@ -51,7 +51,7 @@ package wrsw_top_pkg is
syncen : std_logic;
tx_data : std_logic_vector(15 downto 0);
tx_k : std_logic_vector(1 downto 0);
debug : std_logic_vector(15 downto 0);
lpc_ctrl: std_logic_vector(15 downto 0);
end record;
......@@ -66,7 +66,7 @@ package wrsw_top_pkg is
rx_enc_err : std_logic;
rx_bitslide : std_logic_vector(4 downto 0);
rdy : std_logic;
debug : std_logic_vector(15 downto 0);
lpc_stat : std_logic_vector(15 downto 0);
end record;
type t_phyif_output_array is array(integer range <>) of t_phyif_output;
......
......@@ -792,8 +792,8 @@ begin
rx_enc_err_o => from_phys(i).rx_enc_err,
rx_bitslide_o => from_phys(i).rx_bitslide,
rst_i => to_phys(i).rst,
debug_o => from_phys(i).debug,
debug_i => to_phys(i).debug,
lpc_stat_o => from_phys(i).lpc_stat,
lpc_ctrl_i => to_phys(i).lpc_ctrl,
loopen_i => to_phys(i).loopen,
pad_txn_o => gtx_txn_o(i),
pad_txp_o => gtx_txp_o(i),
......@@ -830,7 +830,7 @@ begin
rdy_o => from_phys(i).rdy);
from_phys(i).rx_sampled_clk <= '0';
from_phys(i).debug <= (others => '0');
from_phys(i).lpc_stat <= (others => '0');
end generate gen_no_lp;
from_phys(i).ref_clk <= clk_ref;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment