Commit 6b730dc2 authored by Maciej Lipinski's avatar Maciej Lipinski

v4-dev: pushing manifests/test_scb.xise for LX240T

parent f4bc68c3
......@@ -3,7 +3,7 @@ action = "synthesis"
fetchto = "../../ip_cores"
syn_device = "xc6vlx130t"
syn_device = "xc6vlx240t"
syn_grade = "-1"
syn_package = "ff1156"
syn_top = "scb_top_synthesis"
......
......@@ -3,7 +3,7 @@ action = "synthesis"
fetchto = "../../ip_cores"
syn_device = "xc6vlx130t"
syn_device = "xc6vlx240t"
syn_grade = "-1"
syn_package = "ff1156"
syn_top = "scb_top_synthesis"
......
This diff is collapsed.
......@@ -3,7 +3,8 @@ action = "synthesis"
fetchto = "../../ip_cores"
syn_device = "xc6vlx130t"
#syn_device = "xc6vlx130t"
syn_device = "xc6vlx240t"
syn_grade = "-1"
syn_package = "ff1156"
syn_top = "scb_top_synthesis"
......
This source diff could not be displayed because it is too large. You can view the blob instead.
......@@ -139,7 +139,7 @@ end scb_top_bare;
architecture rtl of scb_top_bare is
constant c_GW_VERSION : std_logic_vector(31 downto 0) := x"24_05_13_00"; --DD_MM_YY_VV
constant c_GW_VERSION : std_logic_vector(31 downto 0) := x"25_05_13_00"; --DD_MM_YY_VV
constant c_NUM_WB_SLAVES : integer := 16;
constant c_NUM_PORTS : integer := g_num_ports;
constant c_MAX_PORTS : integer := 18;
......@@ -531,6 +531,8 @@ begin
wb_i => cnx_master_out(c_SLAVE_NIC),
wb_o => cnx_master_in(c_SLAVE_NIC));
rtu_rsp(c_NUM_PORTS).hp <= '0';
fc_rx_pause(c_NUM_PORTS) <= c_zero_pause; -- no pause for NIC
U_Endpoint_Fanout : xwb_crossbar
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment