Commit 43baf0b2 authored by Maciej Lipinski's avatar Maciej Lipinski

swcore: change in input_block (possible bug with flush), added old page allocator to the pkg

parent 2b125360
......@@ -121,6 +121,27 @@ package swc_swcore_pkg is
zero_o : out std_logic);
end component;
component swc_page_allocator
generic (
g_num_pages : integer;
g_page_addr_bits : integer;
g_use_count_bits : integer);
port (
clk_i : in std_logic;
rst_n_i : in std_logic;
alloc_i : in std_logic;
free_i : in std_logic;
force_free_i : in std_logic;
set_usecnt_i : in std_logic;
usecnt_i : in std_logic_vector(g_use_count_bits-1 downto 0);
pgaddr_i : in std_logic_vector(g_page_addr_bits -1 downto 0);
pgaddr_o : out std_logic_vector(g_page_addr_bits -1 downto 0);
pgaddr_valid_o : out std_logic;
idle_o : out std_logic;
done_o : out std_logic;
nomem_o : out std_logic);
end component;
--component swc_page_allocator
component swc_page_allocator_new
generic (
......
......@@ -1387,7 +1387,8 @@ begin --arch
drdy <= ((not (fifo_empty and (not flush_with_valid_data))) and (not mpm_full_i) and not write_ctrl_out(1))
when (write_state = S_WRITE_MPM) else '0';
flush_sig <= (write_ctrl_out(1)) when (write_state = S_WRITE_MPM) else '0';
--flush_sig <= (write_ctrl_out(1)) when (write_state = S_WRITE_MPM) else '0';
flush_sig <= '1' when ((write_state = S_WRITE_MPM) and (write_ctrl_out = b"11")) else '0';
mpm_flush <= flush_reg or flush_sig;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment