Commit 3132e1d9 authored by Konstantinos Blantos's avatar Konstantinos Blantos

Update scb_top_sim.vhd

parent 55b6a6d3
......@@ -156,8 +156,8 @@ architecture rtl of scb_top_sim is
signal i2c_sda_in : std_logic_vector(2 downto 0);
-- to fix some simulator issues
signal s_one : std_logic := '1';
signal s_zero: std_logic_vector := (others=>'0');
signal s_one : std_logic := '1';
signal s_zero: std_logic_vector(1 downto 0) := (others=>'0');
begin -- rtl
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment