Commit 23e440ec authored by Konstantinos Blantos's avatar Konstantinos Blantos

Update scb_top_sim.vhd

parent 05fd38ef
......@@ -154,10 +154,7 @@ architecture rtl of scb_top_sim is
signal i2c_sda_oen : std_logic_vector(2 downto 0);
signal i2c_sda_out : std_logic_vector(2 downto 0);
signal i2c_sda_in : std_logic_vector(2 downto 0);
-- to fix some simulator issues
signal s_one : std_logic := '1';
signal s_zero: std_logic_vector(1 downto 0) := (others=>'0');
begin -- rtl
......@@ -193,8 +190,8 @@ begin -- rtl
clk_dmtd_i => clk_dmtd_i,
-- clk_sys_i => clk_sys_i,
clk_aux_i => clk_aux_i,
clk_ext_mul_i => s_zero,
clk_ext_mul_locked_i=> s_one,
clk_ext_mul_i => '0',
clk_ext_mul_locked_i=> '1',
cpu_wb_i => cpu_wb_in,
cpu_wb_o => cpu_wb_out,
cpu_irq_n_o => cpu_irq_n,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment