Commit 05fd38ef authored by Konstantinos Blantos's avatar Konstantinos Blantos

Update wrsw_top_pkg.vhd

parent 884e9ed4
......@@ -348,8 +348,8 @@ package wrsw_top_pkg is
clk_ref_i : in std_logic;
clk_dmtd_i : in std_logic;
clk_aux_i : in std_logic;
clk_ext_mul_i : in std_logic_vector(1 downto 0):=(others=>'0'); --std_logic := '0';
clk_ext_mul_locked_i : in std_logic := '1'; --_vector(1 downto 0) := (others=>'0');
clk_ext_mul_i : in std_logic := '0';
clk_ext_mul_locked_i : in std_logic_vector(1 downto 0) := (others=>'0');
clk_sys_o : out std_logic;
cpu_wb_i : in t_wishbone_slave_in;
cpu_wb_o : out t_wishbone_slave_out;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment