diff --git a/modules/wrsw_swcore/swc_multiport_linked_list.vhd b/modules/wrsw_swcore/swc_multiport_linked_list.vhd index f201684071de7feb3b97e4ab8919d7b773873a7d..5e6220b639a2920898e716e91a1b2d1854afe155 100644 --- a/modules/wrsw_swcore/swc_multiport_linked_list.vhd +++ b/modules/wrsw_swcore/swc_multiport_linked_list.vhd @@ -149,7 +149,7 @@ architecture syn of swc_multiport_linked_list is signal free_pck_read_done : std_logic_vector(c_swc_num_ports-1 downto 0); signal ram_zeros : std_logic_vector( c_swc_page_addr_width - 1 downto 0); - signal ram_ones : std_logic_vector((c_swc_page_addr_width)/8 - 1 downto 0); + signal ram_ones : std_logic_vector((c_swc_page_addr_width+7)/8 - 1 downto 0); begin -- syn diff --git a/modules/wrsw_swcore/swc_page_alloc.vhd b/modules/wrsw_swcore/swc_page_alloc.vhd index 3f05537bd3a29f77cbd0d081a37ef6cf5b252cde..fce44c51ac819bede505f1b04cec05de40523299 100644 --- a/modules/wrsw_swcore/swc_page_alloc.vhd +++ b/modules/wrsw_swcore/swc_page_alloc.vhd @@ -308,12 +308,12 @@ begin -- syn aa_i => usecnt_mem_wraddr, qa_o => open, wea_i => usecnt_mem_wr, - bwea_i => ones((g_use_count_bits)/8 -1 downto 0),--ones((g_use_count_bits+7)/8 -1 downto 0), + bwea_i => ones((g_use_count_bits+7)/8 -1 downto 0),--ones((g_use_count_bits+7)/8 -1 downto 0), ab_i => usecnt_mem_rdaddr, qb_o => usecnt_mem_rddata, db_i => ones(g_use_count_bits-1 downto 0), - bweb_i => ones((g_use_count_bits)/8-1 downto 0), --ones((g_use_count_bits+7)/8-1 downto 0), + bweb_i => ones((g_use_count_bits+7)/8-1 downto 0), --ones((g_use_count_bits+7)/8-1 downto 0), web_i => '0' ); diff --git a/testbench/swcore/.gitignore b/testbench/swcore/.gitignore index fe4a98b64119e60a9d32e2772e2275718e3e1b3a..a07425b39b1f2e8f88b178d54c33c98b43a63d01 100644 --- a/testbench/swcore/.gitignore +++ b/testbench/swcore/.gitignore @@ -1 +1 @@ -*.wlf +vsim.wlf diff --git a/testbench/swcore/Manifest.py b/testbench/swcore/Manifest.py index 4024ff31a8fb977c47d12aad1512f59380b55481..d655e6e24bf057dd745bdd43d835b3459742fff5 100644 --- a/testbench/swcore/Manifest.py +++ b/testbench/swcore/Manifest.py @@ -9,9 +9,9 @@ vlog_opt="+incdir+../../../sim " modules = {"local": [ - "../../platform/altera", - "../../platform/genrams/altera", - #"../../ip_cores/general-cores/modules/genrams/", + #"../../platform/altera", + #"../../platform/genrams/altera", + "../../ip_cores/general-cores/modules/genrams/", "../../modules/wrsw_swcore", ], #"git" : diff --git a/testbench/swcore/vsim.wlf b/testbench/swcore/vsim.wlf deleted file mode 100644 index 2f4868ceb8ac713adade1980d5b6b2b513c2d016..0000000000000000000000000000000000000000 Binary files a/testbench/swcore/vsim.wlf and /dev/null differ