1. 15 Sep, 2020 1 commit
  2. 07 Aug, 2020 3 commits
  3. 05 Aug, 2020 1 commit
  4. 04 Aug, 2020 6 commits
  5. 17 Jul, 2020 1 commit
  6. 16 Jul, 2020 1 commit
  7. 09 Jul, 2020 1 commit
  8. 06 Jul, 2020 3 commits
  9. 23 Jun, 2020 17 commits
  10. 13 May, 2020 1 commit
  11. 29 Apr, 2020 1 commit
  12. 25 Apr, 2020 1 commit
  13. 23 Apr, 2020 1 commit
    • Maciej Lipinski's avatar
      [streamers] correction of internal delays for fixed-latency · b34eac40
      Maciej Lipinski authored
      This was previously implemented but got lost when the fixed latency
      instreamers was re-done. The idea is to compensate for internal
      delays, so that the tx/rx_valid signals are as close to the
      configured latency as possible.
      
      Corrected simulation accordingly: the simulation also corrected for
      delays.
      b34eac40
  14. 22 Apr, 2020 1 commit
  15. 21 Apr, 2020 1 commit
    • Maciej Lipinski's avatar
      [streamers] bugfix of streamers simulation · f56b734e
      Maciej Lipinski authored
      For simulation, the second duration is forced to be shorter with the
      generic g_sim_cycle_counter_range. The problem is that the tai/cycle
      counters are still counting full seconds, the trick was done only
      when calculating the delay in function f_cycles_counter_range()
      in fixed_latency_ts_match.vhd. This worked fine unless the timestamps
      were at the edge of the "shorter" second and fixed-latency was
      enabled. In such case, it happened that the time of releasing
      data from streamers was calcualted for TAI+1, yet TAI was incremented
      after the "true" second, not the shorter one. So, the simulation
      was stuck.
      
      To avoid messing up with PPS gen in which the tai/cycles are
      generated, for simulation the tai/cycle values are overriden
      in the xwr_streamer top module. This "alternative" TAI time
      is counted from the time when time_valid goes HIGH.
      
      This solution should work for streamers, provided that tx/rx
      instances have the time_valid go HIGH more or less at the same time.
      As far as I can tell, this is usually the case.
      f56b734e