Commit fc94ea07 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

board: initial support for Xilinx ZCU106 devkit

parent f38234c0
files = [
"xwrc_board_zcu106.vhd"
]
modules = {
"local" : [
"../common",
]
}
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
# SPI Flash Programming
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 1 [current_design]
set_property CONFIG_MODE SPIx1 [current_design]
set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design]
set_property CONFIG_VOLTAGE 2.5 [current_design]
set_property CFGBVS VCCO [current_design]
set_property BITSTREAM.CONFIG.SPI_FALL_EDGE YES [current_design]
set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clock_reset_gen is
port (
clk_i : in std_logic;
gpio_o : out std_logic_vector(7 downto 0)
);
end entity;
architecture rtl of clock_reset_gen is
signal cnt : unsigned(23 downto 0);
begin
process(clk_i)
begin
if rising_edge(clk_i) then
cnt <= cnt + 1;
case cnt(23 downto 21) is
when "000" => gpio_o <= "10000000";
when "001" => gpio_o <= "01000000";
when "010" => gpio_o <= "00100000";
when "011" => gpio_o <= "00010000";
when "100" => gpio_o <= "00001000";
when "101" => gpio_o <= "00000100";
when "110" => gpio_o <= "00000010";
when others => gpio_o <= "00000001";
end case;
end if;
end process;
end rtl;
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment