Commit e01a4c8b authored by A. Hahn's avatar A. Hahn

wr_arria2_phy: enc_8b10b => gc_enc_8b10b, dec_8b10b => gc_dec_8b10b

parent 657036fa
Pipeline #4163 failed with stage
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment