Commit e01a4c8b authored by A. Hahn's avatar A. Hahn

wr_arria2_phy: enc_8b10b => gc_enc_8b10b, dec_8b10b => gc_dec_8b10b

parent 657036fa
Pipeline #4163 failed with stage