Commit ce8f6c35 authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

virtex6 determinism: use bufr for tx_out clock

It's used only locally in dmtd sampler. We don't have enough global
clock nets in Virtex6 for 18-port version of WRS.
parent ddf87581
......@@ -320,7 +320,7 @@ architecture rtl of wr_gtx_phy_virtex6_lp is
);
BUFR_1 : BUFG
BUFR_1 : BUFR
port map (
O => tx_out_clk,
I => tx_out_clk_buf);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment