Commit a5838912 authored by Dimitris Lampridis's avatar Dimitris Lampridis

hdl: bump general-cores, vme64x-core and gn4124-core to latest version in…

hdl: bump general-cores, vme64x-core and gn4124-core to latest version in preparation for updating the SPEC and SVEC reference designs
parent 21c67bc8
general-cores @ 556e4c16
Subproject commit 6477d44787f40dcede9a4848a10ee00d47def6bf
Subproject commit 556e4c16302532ac5cb60150c18add695ea1b337
gn4124-core @ 10cd74b0
Subproject commit 3b989e6ea4309b939ba1222aafa6dcd9f6dbdbeb
Subproject commit 10cd74b06a094c5b6c1a566676785e1814001404
vme64x-core @ 78cac871
Subproject commit 73232ebd0c03bb48b74764816ef1dbb06e3505db
Subproject commit 78cac8713658de449dcccbce5a5d35131461fc34
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment