Commit 97e35b72 authored by Maciej Lipinski's avatar Maciej Lipinski

[platfrom/board] bugfix: added default values for the newly added ch1

for VXS, ch1 for PHY connection was added, so it is possible to
switch between two SFP ports (not seamlessly). To use the same
platform/board with designs that have only one SFP port connected,
CH1 (the second) must have default values to leave it hanging
unconnected.
parent 1f02d1a5
......@@ -154,7 +154,7 @@ package wr_board_pkg is
sfp1_scl_i : in std_logic := '1';
sfp1_sda_o : out std_logic;
sfp1_sda_i : in std_logic := '1';
sfp1_det_i : in std_logic;
sfp1_det_i : in std_logic := '1';
spi_sclk_o : out std_logic;
spi_ncs_o : out std_logic;
spi_mosi_o : out std_logic;
......
......@@ -147,7 +147,7 @@ entity xwrc_board_common is
sfp1_scl_i : in std_logic := '1';
sfp1_sda_o : out std_logic;
sfp1_sda_i : in std_logic := '1';
sfp1_det_i : in std_logic;
sfp1_det_i : in std_logic := '0';
---------------------------------------------------------------------------
-- Flash memory SPI interface
......
......@@ -78,8 +78,8 @@ package wr_xilinx_pkg is
sfp_mux_sel_i : in std_logic := '0';
sfp1_txn_o : out std_logic;
sfp1_txp_o : out std_logic;
sfp1_rxn_i : in std_logic;
sfp1_rxp_i : in std_logic;
sfp1_rxn_i : in std_logic := '0';
sfp1_rxp_i : in std_logic := '0';
sfp1_tx_fault_i : in std_logic := '0';
sfp1_los_i : in std_logic := '0';
sfp1_tx_disable_o : out std_logic;
......
......@@ -130,8 +130,8 @@ entity xwrc_platform_xilinx is
---------------------------------------------------------------------------
sfp1_txn_o : out std_logic;
sfp1_txp_o : out std_logic;
sfp1_rxn_i : in std_logic;
sfp1_rxp_i : in std_logic;
sfp1_rxn_i : in std_logic := '0';
sfp1_rxp_i : in std_logic := '0';
sfp1_tx_fault_i : in std_logic := '0';
sfp1_los_i : in std_logic := '0';
sfp1_tx_disable_o : out std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment