Commit 7a6d4c61 authored by Peter Jansweijer's avatar Peter Jansweijer

Revert gtp_bitslide.vhd SHA-1: c9cc5cab back to…

Revert gtp_bitslide.vhd SHA-1: c9cc5cab back to version SHA-1: 8a4c3198
SHA-1: c9cc5caba slightly changed the behavior of gtp_rx_cdr_rst_o which breaks proper functionality.
Artix-7 GTP failed to properly align with a matching bitslide value.
parent 520bba78
Pipeline #4234 failed with stage
...@@ -6,7 +6,7 @@ ...@@ -6,7 +6,7 @@
-- Author : Tomasz Wlostowski -- Author : Tomasz Wlostowski
-- Company : CERN BE-CO-HT -- Company : CERN BE-CO-HT
-- Created : 2010-11-18 -- Created : 2010-11-18
-- Last update: 2020-07-08 -- Last update: 2020-07-03
-- Platform : FPGA-generic -- Platform : FPGA-generic
-- Standard : VHDL'93 -- Standard : VHDL'93
------------------------------------------------------------------------------- -------------------------------------------------------------------------------
...@@ -87,16 +87,6 @@ end gtp_bitslide; ...@@ -87,16 +87,6 @@ end gtp_bitslide;
architecture behavioral of gtp_bitslide is architecture behavioral of gtp_bitslide is
function f_eval_link_down_threshold return integer is
begin
if(g_simulation /= 0) then
return 256;
else
return 10000; -- 10000 bytes without comma = link down
end if;
end f_eval_link_down_threshold;
function f_eval_sync_detect_threshold function f_eval_sync_detect_threshold
return integer is return integer is
...@@ -227,7 +217,6 @@ begin -- behavioral ...@@ -227,7 +217,6 @@ begin -- behavioral
state <= S_SLIDE; state <= S_SLIDE;
else else
state <= S_GOT_SYNC; state <= S_GOT_SYNC;
counter <= to_unsigned(0, counter'length);
end if; end if;
end if; end if;
...@@ -235,15 +224,7 @@ begin -- behavioral ...@@ -235,15 +224,7 @@ begin -- behavioral
gtp_rx_slide_o <= '0'; gtp_rx_slide_o <= '0';
bitslide_o <= std_logic_vector(cur_slide(4 downto 0)); bitslide_o <= std_logic_vector(cur_slide(4 downto 0));
synced_o <= '1'; synced_o <= '1';
if gtp_rx_comma_det_i = '1' then if(gtp_rx_byte_is_aligned_i = '0' or serdes_ready_i = '0') then
counter <= to_unsigned(0, counter'length);
else
counter <= counter + 1;
end if;
-- gtp_rx_byte_is_aligned_i = '0' or serdes_ready_i = '0' or
if(counter = f_eval_link_down_threshold) then
report "serdes: link down" severity error;
gtp_rx_cdr_rst_o <= '1'; gtp_rx_cdr_rst_o <= '1';
state <= S_SYNC_LOST; state <= S_SYNC_LOST;
end if; end if;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment