Commit 606b2e47 authored by pmosf's avatar pmosf

Remove dependency on gn4124, not used in PXIe

parent fb25a444
Pipeline #4309 failed with stage
......@@ -52,7 +52,7 @@ use ieee.numeric_std.all;
library work;
use work.gencores_pkg.all;
use work.wishbone_pkg.all;
use work.gn4124_core_pkg.all;
--use work.gn4124_core_pkg.all;
use work.wr_board_pkg.all;
use work.wr_pxie_fmc_pkg.all;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment