Commit 5b9d66fc authored by Peter Jansweijer's avatar Peter Jansweijer Committed by Grzegorz Daniluk

wrc_core: bubble up tx pause control signals

parent 61879a3a
......@@ -254,6 +254,13 @@ entity wr_core is
txtsu_stb_o : out std_logic;
txtsu_ack_i : in std_logic := '1';
-----------------------------------------
-- Pause Frame Control
-----------------------------------------
fc_tx_pause_req_i : in std_logic := '0';
fc_tx_pause_delay_i : in std_logic_vector(15 downto 0) := x"0000";
fc_tx_pause_ready_o : out std_logic;
-----------------------------------------
-- Timecode/Servo Control
-----------------------------------------
......@@ -661,6 +668,9 @@ begin
wb_i => ep_wb_in,
wb_o => ep_wb_out,
rmon_events_o => open,
fc_tx_pause_req_i => fc_tx_pause_req_i,
fc_tx_pause_delay_i => fc_tx_pause_delay_i,
fc_tx_pause_ready_o => fc_tx_pause_ready_o,
led_link_o => ep_led_link,
led_act_o => led_act_o);
......
......@@ -383,6 +383,10 @@ package wrcore_pkg is
timestamps_o : out t_txtsu_timestamp;
timestamps_ack_i : in std_logic := '1';
fc_tx_pause_req_i : in std_logic := '0';
fc_tx_pause_delay_i : in std_logic_vector(15 downto 0) := x"0000";
fc_tx_pause_ready_o : out std_logic;
tm_link_up_o : out std_logic;
tm_dac_value_o : out std_logic_vector(23 downto 0);
tm_dac_wr_o : out std_logic_vector(g_aux_clks-1 downto 0);
......@@ -576,6 +580,13 @@ package wrcore_pkg is
txtsu_stb_o : out std_logic;
txtsu_ack_i : in std_logic := '1';
-----------------------------------------
-- Pause Frame Control
-----------------------------------------
fc_tx_pause_req_i : in std_logic := '0';
fc_tx_pause_delay_i : in std_logic_vector(15 downto 0) := x"0000";
fc_tx_pause_ready_o : out std_logic;
-----------------------------------------
-- Timecode/Servo Control
-----------------------------------------
......
......@@ -199,6 +199,13 @@ entity xwr_core is
timestamps_o : out t_txtsu_timestamp;
timestamps_ack_i : in std_logic := '1';
-----------------------------------------
-- Pause Frame Control
-----------------------------------------
fc_tx_pause_req_i : in std_logic := '0';
fc_tx_pause_delay_i : in std_logic_vector(15 downto 0) := x"0000";
fc_tx_pause_ready_o : out std_logic;
-----------------------------------------
-- Timecode/Servo Control
-----------------------------------------
......@@ -354,6 +361,10 @@ begin
txtsu_stb_o => timestamps_o.stb,
txtsu_ack_i => timestamps_ack_i,
fc_tx_pause_req_i => fc_tx_pause_req_i,
fc_tx_pause_delay_i => fc_tx_pause_delay_i,
fc_tx_pause_ready_o => fc_tx_pause_ready_o,
tm_link_up_o => tm_link_up_o,
tm_dac_value_o => tm_dac_value_o,
tm_dac_wr_o => tm_dac_wr_o,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment