Commit 55c70116 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

wr_endpoint/wrc_core: moved t_txtsu_timestamp to endpoint's package

parent f943eba9
......@@ -7,6 +7,16 @@ use work.wr_fabric_pkg.all;
package endpoint_pkg is
type t_txtsu_timestamp is record
stb : std_logic;
tsval : std_logic_vector(31 downto 0);
port_id : std_logic_vector(5 downto 0);
frame_id : std_logic_vector(15 downto 0);
incorrect : std_logic;
end record;
type t_txtsu_timestamp_array is array(integer range <>) of t_txtsu_timestamp;
component wr_endpoint
generic (
g_interface_mode : t_wishbone_interface_mode := CLASSIC;
......
......@@ -6,16 +6,10 @@ use work.genram_pkg.all;
use work.wishbone_pkg.all;
use work.sysc_wbgen2_pkg.all;
use work.wr_fabric_pkg.all;
use work.endpoint_pkg.all;
package wrcore_pkg is
type t_txtsu_timestamp is record
stb : std_logic;
tsval : std_logic_vector(31 downto 0);
port_id : std_logic_vector(5 downto 0);
frame_id : std_logic_vector(15 downto 0);
incorrect : std_logic;
end record;
-----------------------------------------------------------------------------
--PPS generator
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment