Commit 52d419cb authored by Tristan Gingold's avatar Tristan Gingold

Reduce endpoint and mini_nic address space to 0x80 bytes

parent a27f660b
......@@ -447,7 +447,7 @@ package endpoint_pkg is
wbd_width => x"7", -- 8/16/32-bit port granularity
sdb_component => (
addr_first => x"0000000000000000",
addr_last => x"00000000000000ff",
addr_last => x"000000000000007f",
product => (
vendor_id => x"000000000000CE42", -- CERN
device_id => x"650c2d4f",
......
......@@ -347,7 +347,7 @@ begin -- behavioral
-- sniff wb access to generate rx_fifo_rd every time the RX_FIFO register is
-- read
rx_fifo_rd <= '1' when(wb_out.cyc='1' and wb_out.stb='1' and wb_out.adr(7 downto 0)=x"02" and wb_in.ack='1') else
rx_fifo_rd <= '1' when(wb_out.cyc='1' and wb_out.stb='1' and wb_out.adr(4 downto 0)=b"0_0010" and wb_in.ack='1') else
'0';
-------------------------------------------------------------------------------
......@@ -598,6 +598,7 @@ begin -- behavioral
regs_in.mcr_rx_error_i <= '0';
nrx_newpacket <= '0';
if (regs_out.mcr_rx_en_o = '1') then
-- Stall at start of frame, will accept in RX_FRAME state.
snk_stall_int <= not nrx_sof;
else
-- RX path is disabled, don't stall any traffic
......
......@@ -135,7 +135,6 @@ architecture struct of wrc_periph is
signal cntr_tics : unsigned(31 downto 0);
signal cntr_overflow : std_logic;
signal rst_wrc_n_o_reg : std_logic := '1';
signal diag_adr : unsigned(15 downto 0);
signal diag_dat : std_logic_vector(31 downto 0);
signal diag_out_regs : t_generic_word_array(g_diag_rw_size - 1 downto 0);
......
......@@ -107,7 +107,7 @@ package wrcore_pkg is
wbd_width => x"7", -- 8/16/32-bit port granularity
sdb_component => (
addr_first => x"0000000000000000",
addr_last => x"00000000000000ff",
addr_last => x"000000000000007f",
product => (
vendor_id => x"000000000000CE42", -- CERN
device_id => x"ab28633a",
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment