Commit 196934e8 authored by Peter Jansweijer's avatar Peter Jansweijer Committed by Grzegorz Daniluk

platform/wr_xilinx_pkg: fixing Kintex-7 GTX component declaration

parent 78880297
......@@ -73,7 +73,8 @@ package wr_xilinx_pkg is
rx_enc_err_o : out std_logic;
rx_bitslide_o : out std_logic_vector(4 downto 0);
rst_i : in std_logic;
loopen_i : in std_logic;
loopen_i : in std_logic_vector(2 downto 0);
tx_prbs_sel_i : in std_logic_vector(2 downto 0);
pad_txn_o : out std_logic;
pad_txp_o : out std_logic;
pad_rxn_i : in std_logic := '0';
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment