Commit 0d3046df authored by Dimitris Lampridis's avatar Dimitris Lampridis

top/svec: introduce SVEC reference design

parent 3c4c1302
target = "xilinx"
action = "synthesis"
syn_device = "xc6slx150t"
syn_grade = "-3"
syn_package = "fgg900"
syn_top = "svec_wr_ref_top"
syn_project = "svec_wr_ref.xise"
syn_tool = "ise"
modules = {
"local" : [
"../../top/svec_ref_design/",
]
}
fetchto = "../../ip_cores"
files = [
"svec_wr_ref_top.vhd",
"svec_wr_ref_top.ucf",
]
modules = {
"local" : [
"../../",
"../../board/svec",
],
"git" : [
"git://ohwr.org/hdl-core-lib/general-cores.git",
"git://ohwr.org/hdl-core-lib/vme64x-core.git",
"git://ohwr.org/hdl-core-lib/etherbone-core.git",
],
}
This diff is collapsed.
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment