Commit 054200a6 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

wr_gthe4_phy_family7_xilinx_ip: enable LPM transceiver mode. See UG576 page 207

parent b0ba6baa
Pipeline #3488 failed with stages
...@@ -320,7 +320,7 @@ begin ...@@ -320,7 +320,7 @@ begin
txprecursor_int <= "00000"; txprecursor_int <= "00000";
txpostcursor_int <= "00000"; txpostcursor_int <= "00000";
eyescanreset_int <= '0'; eyescanreset_int <= '0';
rxlpmen_int <= '0'; rxlpmen_int <= '1';
rx8b10ben_int <= '1'; rx8b10ben_int <= '1';
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment