diff --git a/board/common/wr_board_pkg.vhd b/board/common/wr_board_pkg.vhd index 976dd0615e778e686f2ba4edbbaefa8b00bc45d1..53579d8a4f06380a426815f56d1ae60f73582d92 100644 --- a/board/common/wr_board_pkg.vhd +++ b/board/common/wr_board_pkg.vhd @@ -124,8 +124,8 @@ package wr_board_pkg is owr_pwren_o : out std_logic_vector(1 downto 0); owr_en_o : out std_logic_vector(1 downto 0); owr_i : in std_logic_vector(1 downto 0) := (others => '1'); - slave_i : in t_wishbone_slave_in := cc_dummy_slave_in; - slave_o : out t_wishbone_slave_out; + wb_slave_i : in t_wishbone_slave_in := cc_dummy_slave_in; + wb_slave_o : out t_wishbone_slave_out; aux_master_o : out t_wishbone_master_out; aux_master_i : in t_wishbone_master_in := cc_dummy_master_in; wrf_src_o : out t_wrf_source_out; diff --git a/board/common/xwrc_board_common.vhd b/board/common/xwrc_board_common.vhd index fdea568586376ef24fe1bd8a93b0c3eeed073e23..97b9ae01fd8afa5d63db5a73314ac21c119282fc 100644 --- a/board/common/xwrc_board_common.vhd +++ b/board/common/xwrc_board_common.vhd @@ -7,7 +7,7 @@ -- Author(s) : Dimitrios Lampridis <dimitrios.lampridis@cern.ch> -- Company : CERN (BE-CO-HT) -- Created : 2017-02-22 --- Last update: 2017-02-22 +-- Last update: 2017-03-07 -- Standard : VHDL'93 ------------------------------------------------------------------------------- -- Description: Wrapper for WR PTP core with common features shared between @@ -156,8 +156,8 @@ entity xwrc_board_common is --------------------------------------------------------------------------- --External WB interface --------------------------------------------------------------------------- - slave_i : in t_wishbone_slave_in := cc_dummy_slave_in; - slave_o : out t_wishbone_slave_out; + wb_slave_i : in t_wishbone_slave_in := cc_dummy_slave_in; + wb_slave_o : out t_wishbone_slave_out; aux_master_o : out t_wishbone_master_out; aux_master_i : in t_wishbone_master_in := cc_dummy_master_in; diff --git a/board/spec/wrc_board_spec.vhd b/board/spec/wrc_board_spec.vhd index 7d409b5bf7347891ea2b2b4f8fc91bf44383f401..cac1163f1c7cfa914b6104e75f055f8207c0e718 100644 --- a/board/spec/wrc_board_spec.vhd +++ b/board/spec/wrc_board_spec.vhd @@ -7,7 +7,7 @@ -- Author(s) : Grzegorz Daniluk <grzegorz.daniluk@cern.ch> -- Company : CERN (BE-CO-HT) -- Created : 2016-02-17 --- Last update: 2017-02-22 +-- Last update: 2017-03-07 -- Standard : VHDL'93 ------------------------------------------------------------------------------- -- Description: Top-level wrapper for WR PTP core including all the modules diff --git a/board/spec/xwrc_board_spec.vhd b/board/spec/xwrc_board_spec.vhd index 60b1f3fb5936934dea31032500994e4ec05dc527..4f6dcbc906ab7772a5afaa5542f96b95a64b3ad1 100644 --- a/board/spec/xwrc_board_spec.vhd +++ b/board/spec/xwrc_board_spec.vhd @@ -7,7 +7,7 @@ -- Author(s) : Grzegorz Daniluk <grzegorz.daniluk@cern.ch> -- Company : CERN (BE-CO-HT) -- Created : 2017-02-17 --- Last update: 2017-02-22 +-- Last update: 2017-03-07 -- Standard : VHDL'93 ------------------------------------------------------------------------------- -- Description: Top-level wrapper for WR PTP core including all the modules @@ -436,8 +436,8 @@ begin -- architecture struct owr_pwren_o => open, owr_en_o => onewire_en, owr_i => onewire_in, - slave_i => wb_slave_i, - slave_o => wb_slave_o, + wb_slave_i => wb_slave_i, + wb_slave_o => wb_slave_o, wrf_src_o => wrf_src_o, wrf_src_i => wrf_src_i, wrf_snk_o => wrf_snk_o, diff --git a/board/svec/xwrc_board_svec.vhd b/board/svec/xwrc_board_svec.vhd index c48c4d826b4553b34f6319082c4c970594e630c4..39d08438e158952cfdd2fcd5c0891fee7ef21c46 100644 --- a/board/svec/xwrc_board_svec.vhd +++ b/board/svec/xwrc_board_svec.vhd @@ -7,7 +7,7 @@ -- Author(s) : Dimitrios Lampridis <dimitrios.lampridis@cern.ch> -- Company : CERN (BE-CO-HT) -- Created : 2017-02-16 --- Last update: 2017-02-20 +-- Last update: 2017-03-07 -- Standard : VHDL'93 ------------------------------------------------------------------------------- -- Description: Top-level wrapper for WR PTP core including all the modules @@ -451,8 +451,8 @@ begin -- architecture struct owr_pwren_o => open, owr_en_o => onewire_en, owr_i => onewire_in, - slave_i => wb_slave_i, - slave_o => wb_slave_o, + wb_slave_i => wb_slave_i, + wb_slave_o => wb_slave_o, wrf_src_o => wrf_src_o, wrf_src_i => wrf_src_i, wrf_snk_o => wrf_snk_o, diff --git a/board/vfchd/xwrc_board_vfchd.vhd b/board/vfchd/xwrc_board_vfchd.vhd index 6176fd801bec4a49e07d0fa7e346dd1a1ba7cdca..92f8a338baf96ccc4c76750d3d651fdfd9ccf687 100644 --- a/board/vfchd/xwrc_board_vfchd.vhd +++ b/board/vfchd/xwrc_board_vfchd.vhd @@ -7,7 +7,7 @@ -- Author(s) : Dimitrios Lampridis <dimitrios.lampridis@cern.ch> -- Company : CERN (BE-CO-HT) -- Created : 2016-07-26 --- Last update: 2017-03-06 +-- Last update: 2017-03-07 -- Standard : VHDL'93 ------------------------------------------------------------------------------- -- Description: Top-level wrapper for WR PTP core including all the modules @@ -431,8 +431,8 @@ begin -- architecture struct owr_pwren_o => open, owr_en_o => onewire_en, owr_i => onewire_in, - slave_i => wb_slave_i, - slave_o => wb_slave_o, + wb_slave_i => wb_slave_i, + wb_slave_o => wb_slave_o, wrf_src_o => wrf_src_o, wrf_src_i => wrf_src_i, wrf_snk_o => wrf_snk_o,